CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 冒泡排序

搜索资源列表

  1. paixu

    1下载:
  2. 单片机用来实现数据排序程序(冒泡排序算法)-MCU used to achieve data sorting procedures (Bubble Sort Algorithm)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2671
    • 提供者:李敏
  1. rangewithverilog

    1下载:
  2. 采用冒泡排序的方式在verilog中实现,从而可以实现信号的有序输出,为控制设备提供有序信号。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2375
    • 提供者:riyu
  1. paixu

    0下载:
  2. 排序的程序,包括冒泡排序啦什么的,很有用,在单片机中很少见,推荐。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:902
    • 提供者:crossya
  1. 基于 FPGA 实现的冒泡排序法范例

    1下载:
  2. 基于 FPGA 实现的冒泡排序法范例,Verilog 的语法.
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-28
    • 文件大小:898
    • 提供者:xyz543
  1. verilog--maopao-paixu

    1下载:
  2. 用verilog实现的冒泡排序法 ,有testbench-Implemented using verilog bubble sort, there is testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-11
    • 文件大小:2048
    • 提供者:阿神
  1. bubblesort1024ram

    2下载:
  2. 快速冒泡排序基于FPGA实现,有测试文件以及设计图,实现1024*32位数序的多数排序,突破传统是的REG类型少数排序,利用RAM,针对RAM中的无序数的地址调换,达到排序目的,仅供学习交流-Rapid bubble sort based on FPGA, there are test documents and design drawings to achieve 1024* 32-digit sequence of the majority of sorting, breaking trad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-11
    • 文件大小:5488
    • 提供者:柳泽明
  1. bubblesort_for_51

    0下载:
  2. 51单片机专用冒泡排序子函数,可直接调用,只要输入你要排序的数组及长度即可-bubble sort for 51 MCU,can be used directly by input you the array and the length
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:22454
    • 提供者:余哲晟
  1. program

    0下载:
  2. 掌握简单插入排序、冒泡排序、快速排序、堆排序以及归并排序的算法并加以应用。 2.对各种查找、排序技术的时间、空间复杂性有进一步认识。 -Grasp the simple insertion sort, bubble sort, quick sort, heap sort and merge sort algorithms and apply them. 2. Right of search, sorting technology, time and space, there is a b
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:7226
    • 提供者:雷书明
  1. lzm_bubble_soft

    1下载:
  2. 基于fpga实现的冒泡排序,初学者研究资料,希望更深一步的进行研究-Fpga-based implementation of bubble sort, beginners research data, hoping to study deeper
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:898
    • 提供者:柳泽明
  1. bubble_verilog

    0下载:
  2. 可综合的基于FPGA实现冒泡排序!资料仅供学习参考,包含tb文件-FPGA-based implementation can be integrated bubble sort! Information for reference purposes only to learn that contains the file tb
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:687
    • 提供者:柳泽明
  1. sort4

    0下载:
  2. 基于ISE的FPGA应用,用来实现4输入的冒泡排序。-A application of bubble sort based on ISE.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:285274
    • 提供者:Liu Wei
  1. 0

    0下载:
  2. 可在Mips模拟器SPIM上运行的程序代码 spim模拟mips冒泡排序-spim run mips of sorting
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1533
    • 提供者:张永刚
  1. keilc-shiyan3

    0下载:
  2. 单处机实验程序,实现数据统计及排序实验 熟悉单片机的指令系统,了解程序设计基本方法1、 排序用冒泡排序算法-One experimental program at the machine, data statistics and sort familiar to microcontroller instruction experiment to understand the basic method of 1 programming, sorting using bubble sort al
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:8071
    • 提供者:lipxiong
  1. maopao

    0下载:
  2. 利用verilog实现的冒泡排序。能够用于排任何多个数据的次序。-Implementation of bubble sort using verilog. Can be used for any number of rows of data in order.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:201596
    • 提供者:sue
  1. wang3

    0下载:
  2. linux上实现四种排序,分别是选择排序、递归排序、冒泡排序、和快速排序。以及显示程序运行时间-Linux on its four sorting, respectively is choice, sort and recursion sequence, bubble sort, and quick sort. And show program running time on its Linux four sort
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:1786
    • 提供者:wang
  1. maopaopaixu

    0下载:
  2. 综合对比了几个排序程序之后,发现这个冒泡排序是相对实用性强的,可修改性强-Comprehensive comparison of several sorting procedures, the bubble sort is relatively practical, modifiability
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:3139
    • 提供者:wuliang
  1. Bubble-Sorter

    0下载:
  2. 冒泡排序算法的verilog实现,基于FPGA-Verilog implementation of the bubble sort algorithm, based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:1576
    • 提供者:sun
  1. dpj31_maopao

    0下载:
  2. 单片机C51 汇编语言下的 冒泡排序程序-C51 microcontroller assembly language program under the bubble sort
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:81453
    • 提供者:王祎云
  1. 430-SHILICX

    0下载:
  2. 目录:1. 堆栈时钟初始化 2. RAM自测子程序 3. 二进制转化为BCD码(二进制16位(65536)放R15,结果放R4,R5) 4. BCD码转化为二进制(BCD码放R4,二进制放R5) R5=XO+10*(X1+10*(X2+10*X3)) 5. BCD码转化为二进制(BCD码放R4,二进制放R5)R5=XO+10*X1+100*X2+1000*X3 6. 冒泡排序法(适合20个数字以下) 7. X=a^+b^(a为2个字节,b为2个字节,X为3个字
  3. 所属分类:SCM

    • 发布日期:2017-12-06
    • 文件大小:3530
    • 提供者:fzgh
  1. bubblesort

    7下载:
  2. 根据ASMD图设计验证冒泡排序算法。给出设计程序及时序仿真结果,含纸质报告。(According to the ASMD diagram design, verify the bubble sorting algorithm. Give the design procedure and the simulation result in time, including paper report.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3899392
    • 提供者:yuanhong95
« 12 »
搜珍网 www.dssz.com