CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 小波 阈值

搜索资源列表

  1. Untitled6

    1下载:
  2. 小波阈值去噪,对管道泄露信号进行去噪处理,恢复原信号-Wavelet Thresholding Denoising of pipeline leak noise signal processing, the restoration of the original signal
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:809
    • 提供者:libo
  1. wavelet-denoise

    0下载:
  2. FPGA实现小波阈值降噪的算法说明,有大量的硕士论文,很有参考价值,基于这些资料可以写出源码。-FPGA realization of wavelet threshold noise reduction algorithm descr iption, there are a lot of master s thesis, a good reference, based on these data can be written source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19097718
    • 提供者:wangbo
搜珍网 www.dssz.com