CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 插值

搜索资源列表

  1. 已知两点求第三点的双字节无符号定点插值算法

    0下载:
  2. 两个点的线性插值定点数算法 说明:1、基本公式 y=( y2-y1 ) ( x-x1 ) / ( x2-x1 ) + y1 2、根据直线斜率的正负、内插外插的不同,分为6种情况。 3.参见文件夹中的“插值算法的6种情况”一图。-two points of linear interpolation algorithm for determining points : one, the basic formula y = (y2 - y1) (x-x) / (x2 - x1) y1 2,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:16289
    • 提供者:马良
  1. sine_generate

    0下载:
  2. 本源码是基于TMS320F240 EVM编写的正弦波生成程序。利用分段线形插值法产生正弦波,输出波形较好,频率和幅值可调,-source is based on the EVM TMS320F240 prepared by the sine wave generated procedures. Use the linear interpolation generated sine wave, the output waveform better, adjustable frequency and
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6848
    • 提供者:李杰
  1. collectionOfImageAndinterpolation

    0下载:
  2. 该系统是一个实现图像数据采集以及对图像数据的插值处理,供其它系统进一步处理或显示的系统。具有一定的通用性,适合大多数CMOS图像传感器接入。1准确、适时的数据采集,尽量减少延迟 2采集模块具备一定的数据缓冲功能 3快速有效的数据插值 4使用较少的逻辑器件和存储器 5代码的可读性要强 -The system is a realization of image data acquisition and the image data interpolation, other system for
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2662540
    • 提供者:矫渊培
  1. deinterlace

    0下载:
  2. Xilinx提供的一种利用线缓存进行插值的隔行变逐行程序,比普通算法效果有很大改进。-Xilinx to provide a linear interpolation for the cache interlaced progressive change procedures, than ordinary algorithm results are greatly improved.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:99140
    • 提供者:cloud
  1. bbb

    0下载:
  2. AVS运动补偿电路的VLSI设计与实现 提出了一种基于AVS标准的高效的运动补偿电路硬件结构,该设计采用了8 X 8块级流 水线操作,运动矢量归一化处理和插值滤波器组保证了流水线的高效运行以及硬件资源的最优 利用。采用Verilog语言完成了VLSI设计,并通过EDA软件给出仿真和综合结果。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:216469
    • 提供者:sss
  1. TabularProceduresSCMAutomaticGenerationTechnology.

    0下载:
  2. 在单片机应用系统中,常用到许多复杂的数学计算,如计算sin(x)、cos(x)、有效值计算、非线性插值等。这些在高级语言中是简单的工作,而在单片机的汇编语言中却是非常复杂的。因为,这些运算大都要用乘除运算来进行近似运算,计算的精度很难满足要求。更难以接受的是其运算时间太长,这对于无乘除指令的单片机系统更是如此。采用查表取代复杂的计算是一个明智的选择。但是,这种查表程序表格往往都较长,通常为几十条到一二百条,如果采用手工输入不但要花费大量的时间,而且还容易出错。利用高级语言的单片机查表程序的自动生
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7176
    • 提供者:nasa008
  1. C51-CZSH

    0下载:
  2. 用C51实现插值算法,在工业控制中。很有借鉴意义!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19472
    • 提供者:zhang
  1. 常用插植算法文档及源代码

    0下载:
  2. 简单的数据采集插值方法。-simple interpolation method of data acquisition.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:207832
    • 提供者:龙文地
  1. YM12864R.rar

    0下载:
  2. 12864液晶显示波形程序,包括各种插值算法,只要更改一下管脚定义即可使用,方便快捷!希望对大家有用,12864 LCD waveform procedures, including a variety of interpolation algorithms, as long as the changes to the definition of what pin to use, convenient and quick! Want to be useful to everyone
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:4705
    • 提供者:李键锋
  1. line

    0下载:
  2. 线性插值算法与抛物线插值算法,可直接在单片机等系统中使用-Linear interpolation algorithm and parabolic interpolation algorithm can be directly used in the SCM and other systems
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:834
    • 提供者:PK
  1. interpsinc.rar

    1下载:
  2. 香农内插值公式,可以用在数字信号处理中从采样序列中恢复出原信号。,Within the Shannon interpolation formula can be used in digital signal processing in sequence from the sample to recover the original signal.
  3. 所属分类:DSP编程

    • 发布日期:2016-01-26
    • 文件大小:3098
    • 提供者:王奎
  1. resolutionquartusII

    1下载:
  2. 用verilog编写的分辨率提高的源代码 采用双线性插值-Written resolution with the verilog source code to improve the use of bilinear interpolation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6241225
    • 提供者:权晶
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:65639
    • 提供者:johnson
  1. hbf

    1下载:
  2. 半带插值滤波器设计、综合、仿真和硬件测试-Half-band interpolation filter design, synthesis, simulation and hardware test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18717
    • 提供者:pll
  1. chazhifa

    0下载:
  2. 插值法 线性化程序 单片机 程序 线性拟和-Linear interpolation procedure pseudoparabolic Singlechip procedures and
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1224
    • 提供者:赵国栋
  1. intfit

    0下载:
  2. 基于Farrow结构的平方内插器,其中输入为8位的小数插值相位和8位的输入数据,实现8位数据输出,仿真验证结果显示此种方法占用资源少。-Farrow structure based on the square interpolator, which enter the decimal for the 8-bit and 8-phase interpolation of the input data to achieve 8-bit data output, simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1061
    • 提供者:徐爽
  1. asedf

    1下载:
  2. 分段线性插值法,用于数据采集计算的经典算法-Piecewise linear interpolation method for the calculation of the classical algorithm for data acquisition
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:137155
    • 提供者:hncsljf
  1. myinterpolation

    0下载:
  2. 复杂的插值函数,用于颜色空间转换 verilog-The complex interpolation function for color space conversion verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1219
    • 提供者:zhangxinggang
  1. FPGA_拉格朗日插值_IP

    0下载:
  2. fpga实现拉格朗日插值,本工程采用verilog语言实现,可直接使用
  3. 所属分类:VHDL编程

  1. spline3

    1下载:
  2. 在DSP中实现二维三次样条插值,该方法已在DSP中验证实现(Two dimensional cubic spline interpolation is realized in DSP)
  3. 所属分类:DSP编程

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:eaglesky521
« 12 3 4 »
搜珍网 www.dssz.com