CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 查找表

搜索资源列表

  1. 贴片元件代码表

    1下载:
  2. 是一个贴片元器件的代码对照表,方便查找。-component is a patch of code comparison table to find convenient.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1607343
    • 提供者:黄文忠
  1. gold

    0下载:
  2. SRL16是Virtex器件中的一个移位寄存器查找表。它有4个输入用来选择输出序列的长度。使用XCV50-6器件实现,共占用5个Slice。用来生成gold码。-SRL16 Virtex devices is a shift register lookup table. It has four input used to select the output sequence length. Use XCV50-6 device, occupying a total of five Slice.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1383
    • 提供者:zy
  1. sintable

    0下载:
  2. 在C54X DSP下实现查找表功能,可查找sin函数一个周期的查找表!-under the C54X DSP Lookup Table function, sin function can be found in a cycle lookup table!
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6748
    • 提供者:张有鹏
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. ADC0809

    0下载:
  2. VerlogHDL 代码,对AD0809读取的数据显示译码,采取查找表的方式
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:894
    • 提供者:刘红亮
  1. Nucleus_task_dispatch

    1下载:
  2. Nucleus任务调度算法原理及Nucleus优先级查找表的原理(有详细的文档及演示代码)。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:21488
    • 提供者:wangxiao
  1. DCT

    0下载:
  2. altera fpga verilog 设计的基于查找表的DCT程序及zigzag扫描程序,已经过matlab 和modelsim 验证,文件中包含TESTBENCH ,直接可用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15401328
    • 提供者:alison
  1. P1

    0下载:
  2. MSP430 PS/2键盘接口程序 LCD1602显示。 程序包含对PS/2键盘发送数据的处理,以及如何通过查找表显示所收到的数据,并通过显示缓存显示到1602液晶上。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:15011
    • 提供者:sujie
  1. FPGA.rar

    1下载:
  2. 关于FPGA查找表内部结构的介绍,对查找表的建立与使用也有初步讲解 ,FPGA lookup table on the internal structure, the look-up table for the establishment and initial on the use of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:120845
    • 提供者:韩飞
  1. NCO_based_rom

    1下载:
  2. 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:86073
    • 提供者:gsg
  1. yuv420ToRgb565

    0下载:
  2. 功能:(ov511) 1、YUV420 格式采集视频数据 2、将YUV420 视频数据转成 RGB565 在320*240RGB LCD 16bpp 上显示 3、采用查找表及移位技术解决浮点运算耗时问题,提高LCD刷新屏幕的频率,无闪烁。-Function: (ov511) 1, YUV420 format video data collected 2, YUV420 video data into RGB565 in 320* 240RGB LCD 16bpp display 3,
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:67833
    • 提供者:
  1. atan_lut

    0下载:
  2. 基于改进的查找表的arctan计算模块,包含完整的VHDL源代码及部分注释.绝对原创!-Arctan calculation module based on improved searching form. The rar package contains complete VHDL source code and some notes. Absolutely original!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:12582
    • 提供者:wgy
  1. case4

    0下载:
  2. DA算法中的使用的查找表模块,本程序先设计查找表,然后设计4*4DA算法模块,之后进行位扩展和字扩展得到32阶滤波器程序.附带4各表,和FIR滤波器序数-DA algorithm used in the lookup table module, the design of the program first look-up table, and then design 4* 4DA algorithm module, after the word-bit expansion and extens
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:9739
    • 提供者:黄萌
  1. 44

    0下载:
  2. 单片机4*4矩阵按键,运用查表法来对矩阵键盘进行查找-4* 4 matrix of single-chip keys, the use of look-up table method to find matrix keyboard
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1028
    • 提供者:昌锐
  1. two_d_dct_serial

    0下载:
  2. 二维DCT变换,采用查找表的方法实现算法,分别通过列变换,再通过行变换,通过加法器乘法器以及流水线技术得出更快的结果!-two-dimention DCTtransform,the algorithm was implemented by look up table,via row trasforming and colum trasforming respectively
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:23110
    • 提供者:chenguohao
  1. ImplementLUT-baseFIRFilterwithVHDL

    0下载:
  2. 用VHDL语言实现查找表方法有限冲击响应滤波器-VHDL language used lookup table method to achieve finite impulse response filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7390
    • 提供者:贺欧
  1. TH11SensorReadAndTest

    0下载:
  2. 利用MEGA8同时控制四路分立的单总线设备TH-11数字湿温度传感器,并根据查找表反推出传感器电阻值,显示在LCD12864显示器上。公司内部用机器。-MEGA8 simultaneously control the use of four separate single-bus devices TH-11 digital wet temperature sensor and, based on look-up table against introduction of sensor resis
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:130463
    • 提供者:宁佐文
  1. ddfsdemo

    0下载:
  2. 直接数字频率合成器(Direct Digital Frequency Synthesizer:DDFS)的VHDL程序,开发环境是QuartusII,系统时钟为50MHz,由PLL产生DDFS的工作时钟166.67MHz,地址位宽为24位,频率字为20,相位字为10,RAM用于存储查找表,其地址位宽为10,数据位宽为8。-Direct Digital Frequency Synthesizer ( DDFS) of the VHDL program, the development enviro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:647642
    • 提供者:力文
  1. Songer

    0下载:
  2. 基于EDA的“梁祝”音乐播放设计,运用data_rom的查找表功能-Based on EDA' s " Butterfly Lovers" Music Player Design
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:242759
    • 提供者:pareka
  1. FPGA_LUT

    0下载:
  2. 基于FPGA的大规模查找表设计与实现,对大规模查找表设计有一定帮助-Large-scale look-up table-based FPGA design and implementation of large-scale look-up table design will help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:273478
    • 提供者:jh
« 12 3 4 5 »
搜珍网 www.dssz.com