CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 正余弦

搜索资源列表

  1. programmer1

    0下载:
  2. 在MCS51上实现计算器的功能模块 (完成加减乘除正余弦开方正余切E的幂和对数等的运算,使用了Cx51标准库函数中math.h)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:75539
    • 提供者:王魁
  1. cordic.rar

    0下载:
  2. 基于cordic算法的正余弦信号发生器,通过编译仿真,Cordic algorithm is based on the cosine signal generator, through the compiled simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1999
    • 提供者:远 额
  1. mysinlongok

    0下载:
  2. 利用泰勒公式计算32位数的正余弦值,可以达到比较高的精度。 适用于C54X系列DSP器件-Taylor formula using 32-digit positive cosine values can be achieved relatively high accuracy. Apply to C54x family DSP devices
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:1288
    • 提供者:dashu
  1. C28x_FPU_FastRTS_v10

    0下载:
  2. f2833x系列浮点运算函数支持库,如正余弦函数等 -F2833x series of floating-point operations function to support the library, such as the cosine function is such
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:438738
    • 提供者:wangqijiu
  1. Cordic_math

    0下载:
  2. 一个很不错的cordic利用流水线计算正余弦的程序-a well method program with hddl count sin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2397570
    • 提供者:planet1997
  1. cossin

    0下载:
  2. 数字信号源,输出不同频率,相位的正余弦信号,-Digital signal source, the output of different frequency, phase is the cosine signal,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1086406
    • 提供者:liulei
  1. fpgafsk

    0下载:
  2. 至于FSK调制原理就不多说了,这里做的一个实验是二进制频移键控。发送一组码元,通过响应的键控电路监测是发1还是发0然后选择频率控制正余弦电路波形。-see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:89450
    • 提供者:张锴
  1. DDS

    0下载:
  2. 本代码可以用于产生正余弦信号波形,利用FPGA内部的ROM放置一个正余弦采样点的数据表格,通过循环取址的方法,实现波形连续输出。-This code can be used to generate positive cosine signal waveforms, using FPGA' s internal ROM to place a sampling point is the cosine of the data tables, the circulation method of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:484558
    • 提供者:蔡野锋
  1. DDS

    0下载:
  2. 同时用verilog 语言编写dds原代码用于生成正余弦波,并在FPGA平台进行验证-described dds direct digital frequency synthesis of the basic tenets addition to the use of verilog prepared dds source used to produce sine, and FPGA development platform for verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1082
    • 提供者:scond
  1. DSPBasedFastCalculationoftrigonometricfunctions.ra

    0下载:
  2. 基于DSP 的三角函数快速计算分析了常用三角函数(主要是正余弦)的各种近似计算方法包括迭代法级数法查表法以及CORDIC 算法给出了常用算法的 误差特性误差范围以及时空效率依据现代DSP 的流水并行( SIMD)和片内存储器等特点对各种算法进行了优化调整提高了它们 的并行性-DSP-Based Fast Calculation of trigonometric functions
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:276802
    • 提供者:scnu
  1. cordic

    0下载:
  2. 该程序使用Verilog语言,可以生成dds正余弦信号-The program uses the Verilog language, can generate sine and cosine signals dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5960
    • 提供者:王丽
  1. 0

    0下载:
  2. 正余弦函数发生器设计是需要的地址对应值,希望对你有帮助-Cosine function generator is designed to address needs of the corresponding value, want to help you
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:10171
    • 提供者:刘超
  1. aug2[1]

    0下载:
  2. 基于CORDIC算法的32位浮点三角超越函数之正余弦函数的FPGA实现-CORDIC FPGA CORDIC FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:229094
    • 提供者:honda
  1. CORDIC_sin_cos

    0下载:
  2. 基于Verilog语言的cordic算法,算出正余弦的值-Based on the Verilog language cordic algorithm, calculate is cosine value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:218982
    • 提供者:阿杜
  1. CCS-dsk

    1下载:
  2. TMS320C6711 a/d-d/a板例子程序,包括EDMA和正余弦波的DA输出-TMS320C6711//EDMA
  3. 所属分类:DSP编程

    • 发布日期:2013-12-31
    • 文件大小:169533
    • 提供者:sqqs
  1. ddsforsinandcos

    0下载:
  2. 利用VerilogHDL调用MATLAB产生的数据实现基于DDS技术的正余弦信号发生器,输出位宽为16。-Using the data generated VerilogHDL call MATLAB implementation is based on DDS technology cosine signal generator, the output is 16 bits wide.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:843
    • 提供者:张茂磊
  1. DDS

    0下载:
  2. DDS数字频率合成的verilog代码,附有正余弦查找表等-DDS digital frequency synthesis verilog code, with a cosine look-up table, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:16772096
    • 提供者:allen-haha
  1. cordic02

    0下载:
  2. 利用CORDIC旋转因子的办法实现FPGA的各种必须函数,这里只要实现正余弦函数(The use of CORDIC rotation factor method to achieve the various functions of FPGA, here as long as the realization of sine and cosine function)
  3. 所属分类:硬件设计

    • 发布日期:2017-12-29
    • 文件大小:6129664
    • 提供者:zbl
  1. sincos

    0下载:
  2. 实现正余弦函数Verilog语言的生成...............(sine wave generator by using verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:774144
    • 提供者:zhangchaoruo
  1. SinCosTable

    2下载:
  2. 为了解决STM32等编写 fft任意点计算的查表计算问题,可以实现任意点的10位精度的正余弦表。(for STM32 and other MCU, for FFT calculatings.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-07-03
    • 文件大小:6144
    • 提供者:backy
« 12 3 »
搜珍网 www.dssz.com