CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 状态机

搜索资源列表

  1. 有限状态机

    0下载:
  2. 有限状态机FSM思想广泛应用于硬件控制电路设计,也是软件上常用的一种处理方法(软件上称为FMM--有限消息机)。它把复杂的控制逻辑分解成有限个稳定状态,在每个状态上判断事件,变连续处理为离散数字处理,符合计算机的工作特点。同时,因为有限状态机具有有限个状态,所以可以在实际的工程上实现。但这并不意味着其只能进行有限次的处理,相反,有限状态机是闭环系统,有限无穷,可以用有限的状态,处理无穷的事务。-FSM thinking widely used hardware control circuit d
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:8452
    • 提供者:李易
  1. 状态机设计

    0下载:
  2. 详细说明状态机的设计,用VHDL实现,是不错的教程-detailed state machine design, VHDL, is a good guide
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:114008
    • 提供者:wl
  1. 7状态机设计

    0下载:
  2. 这是“状态机设计(讲稿)”,希望对正在学VHDL的同学有帮助,谢谢!-This is the "state machine design (the scr ipt)", and I hope to learn VHDL is there to help the students, thank you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5337925
    • 提供者:振臂
  1. actel fpga 状态机实例

    0下载:
  2. actel fpga 状态机实例
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-11-26
    • 文件大小:201420
    • 提供者:lxlzj@tom.com
  1. 状态机例子

    0下载:
  2. 状态机的典型应用实例
  3. 所属分类:VHDL编程

    • 发布日期:2011-02-17
    • 文件大小:833951
    • 提供者:cnpowerfoot
  1. 简单状态机

    0下载:
  2. 用verilog实现了一个简单的状态机变化。
  3. 所属分类:VHDL编程

  1. 单片机延时控制系统(使用状态机编程)

    0下载:
  2. 单片机延时控制系统(使用状态机编程) 两路检测,控制两路继电器输出。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. UART.使用FPGA的FIFO,状态机

    2下载:
  2. 使用FPGA的FIFO,状态机,乒乓操作等实现了异步UART。,The use of FPGA-FIFO, state machine, ping-pong operation to achieve the asynchronous UART.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1107282
    • 提供者:xiao cao
  1. delay.rar

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序,VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1015
    • 提供者:yim
  1. lcd_controler.rar

    0下载:
  2. 用FPGA设计12832中文液晶控制器,采用状态机的方式,提高稳定性!,FPGA cyclone control 12832LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:703531
    • 提供者:随风
  1. fsm.rar

    1下载:
  2. 标准三段式状态机的写法 里面给出了一段式、二段式和三段式的状态机写法,便于对比,适合初学者 ,the standard format of Verilog FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2177
    • 提供者:cuiyundong
  1. key.rar

    0下载:
  2. 按键状态机,清晰的实现键盘的扫描。 支持多功能按键:长按,短按等,State machine keys, clear keypad scanning implementation. Supporting multi-function keys: long press, short press, etc.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1061
    • 提供者:锦量
  1. uHsm

    0下载:
  2. 状态机的应用。在嵌入式系统中状态机使用非常频繁,掌握他是一个必须的能力-State machine applications. In embedded system state machine used very frequently, he is a must to master the ability to
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:327802
    • 提供者:向许东
  1. QF

    0下载:
  2. 这是状态机的一个框架结构的例子,可以作为状态机的基本架构,然后在上面扩展开发-This is a state machine, a frame structure example of state machine can be used as the basic framework, and then expand in the above development
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:60275
    • 提供者:IO
  1. fsmled

    0下载:
  2. verilog语言, 状态机实现数码管显示 -This uses verilog language to make state machine realization of digital control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5365
    • 提供者:普旭贺
  1. FSMLibrary

    0下载:
  2. 有限状态机源码,最近在做一个项目需要用到状态机,自己研究了一下,将原来的状态机封装了,做了一些修改,实现了一个比较好用的状态机。里面包括测试工程,用例-Finite state machine source code, most recently doing a project needs to use state machines, their study a little, the original state machine package, and made some modificat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:499473
    • 提供者:风雪浪子
  1. JKI状态机

    0下载:
  2. 主要介绍状态机的编程方法以利于编程方便性(The programming method of state machine is mainly introduced)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:62464
    • 提供者:SZTHMZ
  1. 状态机

    0下载:
  2. 状态机,实现状态机的代码,接收了状态机几种实现方式(The state machine implements the code of the state machine and receives several implementations of the state machine)
  3. 所属分类:单片机开发

  1. 状态机

    0下载:
  2. 本代码跟据状态转移图,通过verilog实现了一个有限状态机。(This code implements a finite state machine with the state transition graph through verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:184320
    • 提供者:yuguofang
  1. verilog状态机

    0下载:
  2. 采用Verilog语言设计一个序列信号发生器和一个序列信号检测器,二者都以状态机模式实现。序列信号发生器输出8位宽度的序列信号“10110110”,通过数码管显示出来;序列信号发生器的输出接入序列信号检测器,检测器检测当前的输入信号,若出现目标序列信号则通过蜂鸣器输出一个声响,表示检测到有效的目标信号。(A sequence signal generator and a sequence signal detector are designed using Verilog language, b
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:5455872
    • 提供者:听风吹雨
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com