CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 累加器

搜索资源列表

  1. dianzizhong

    0下载:
  2. 这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接下载,已经编译通过!-This is my learning process in the middle of the 10-minute program, containing various clock module and the counter, accumulator, and can download, compile!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:551572
    • 提供者:刘恒辉
  1. FPGAprogram5

    2下载:
  2. 数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,提供VHDL源程序供大家学习和讨论。 -NC oscillator frequency control word register, phase control word register, and processing instruments used accumulator can be used VHDL descr iption, in an integrated modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3844
    • 提供者:许嘉
  1. 98632

    0下载:
  2. GAL设计的累加器,译码器的原代码。已经测试成功,并且生成可烧写的JED文件!-GAL design accumulator, the decoder the original code. Has been tested successfully, and can generate the JED document burning!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:45169
    • 提供者:帅冲
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:689787
    • 提供者:WeimuMa
  1. 100vhdl

    1下载:
  2. 100个VHDL程序,关于基本的模块,有累加器等
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:237074
    • 提供者:李加胜
  1. 温度检测部分单片机程序

    0下载:
  2. SRART: MOVX @R0,A 令ADC0809开始转换 WAIT: JB OP2.0,ADC 检测ADC0809转换完成否? CALL DISP 调用显示子程序 JMP WAIT ADC:MOVX A,@R0 将转换好的数据送入累加器 CALL L1 调用十进制转换子程序 MOV RI,#OFFH 显示延时-SRART : R0 MOVX @ A change began to make ADC0809 WAIT : JB OP2.0, ADC conversion completed t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3968
    • 提供者:刘浪
  1. acc32bit 本设计为32位数字相位累加器

    1下载:
  2. 本设计为32位数字相位累加器,门级描述的Verilog代码。其中,acc32bit.v为顶层文件,full_add1.v为一位全加器的门级描述模块,flop.v为触发器的门级描述模块。-The design for the 32-bit digital phase accumulator, gate-level descr iption of the Verilog code. Which, acc32bit.v as top-level file, full_add1.v as a full
  3. 所属分类:VHDL编程

    • 发布日期:2014-10-27
    • 文件大小:755712
    • 提供者:吴亮
  1. accumulator.rar

    1下载:
  2. 实现累加器的verilog源码,广泛应用在通信电路设计中,The realization of accumulator Verilog source, widely used in communication circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1426
    • 提供者:文明
  1. DDS.rar

    1下载:
  2. 自己在Quartus下用VHDL编写的一个DDS程序。包括寄存器,累加器,波形存储器,In Quartus using VHDL procedures for the preparation of a DDS. Including the register, accumulator, waveform memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:351346
    • 提供者:ice
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. vhdl

    1下载:
  2. 本设计中应用硬件描述语言Verilog HDL描述相位累加器,相位调制器,正弦波、方波、三角波、心电波形四个独立的波形存储器,并描述频率控制、相位控字、幅度控制单元及波形切换等相关的功能单元。-Application of the design described in Verilog HDL hardware descr iption language phase accumulator, phase modulator, sine, square, triangle wave, the fo
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-03
    • 文件大小:4254
    • 提供者:kelly
  1. multiplier-accumulator(vhdl)

    1下载:
  2. 用VHDL语言描述和实现乘法累加器设计,4位的被乘数X和4位的乘数Y输入后,暂存在寄存器4位的寄存器A和B中,寄存器A和B的输出首先相乘,得到8位乘积,该乘积再与8位寄存器C的输出相加,相加结果保存在寄存器C中。寄存器C的输出也是系统输出Z。(原创,里面有乘法部分和累加部分可以单独提出来,很好用) -With the VHDL language to describe the design and realization of multiplier-accumulator, four of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:967180
    • 提供者:jlz
  1. 4位乘法累加器

    0下载:
  2. 4位乘法累加器,有需要的下吧,其他位的可以自行修改~-Multiplication accumulator 4
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-01
    • 文件大小:549
    • 提供者:李才
  1. ddsVHDL

    1下载:
  2. fpga实例 包含很多使用的例子 累加器 乘法器 触发器等-FPGA example real Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:149525
    • 提供者:赵龙
  1. leijiaqi

    0下载:
  2. 累加器,一个加法器和一个寄存器构成的累加器,其用途是用于DDS技术的相位累加器 -ACC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:16897
    • 提供者:陈兴文
  1. addstruncate

    0下载:
  2. 乘-累加电路 包含函数检测累加器溢出后造成错误-X- accumulation accumulator circuit includes overflow detection function resulting from an error
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:643
    • 提供者:赵珑
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. mips

    0下载:
  2. 实现了一个简单的微处理器的功能,l里面有累加器,加法器,寄存器-adgfdhgjjj jdhjhgdkhgjhgjhgkjhgkgkh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1063047
    • 提供者:leixueyan
  1. leijia

    0下载:
  2. 二进制累加器:实现多个二进制数累加,有复位,按键防反弹等功能(每按一次作一次累加,累加数由若干位开关表示,结果由若干位LED输出。-Binary accumulator: accumulation of multiple binary number, there are reset, key features such as anti-bounce (each time I press to make a cumulative, accumulate a number by a number o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:390054
    • 提供者:dujcel
  1. Signal

    1下载:
  2. 基于FPGA的DDS相位累加器,连接至存有波形数据的rom后再接至DA可以输出对应的波形(abcdefghijklmnopqrstuvwxyz)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 »
搜珍网 www.dssz.com