CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 解调

搜索资源列表

  1. FSK调制与解调VHDL程序及仿真

    1下载:
  2. FSK调制与解调VHDL程序,用cpld编写,word文档中包含详细说明
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-16
    • 文件大小:51889
    • 提供者:松鹤
  1. 基于SystemView 的AM调幅系统调制解调

    1下载:
  2. 基于SystemView 的AM调幅系统调制解调,含原理图。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:108334
    • 提供者:
  1. 无线通信中进行FSK调制与解调的dsp算法

    1下载:
  2. 在dsp上实现的fsk调制解调源程序,对在 dsp上开发无线通信程序很有参考价值-dsp in achieving the FSK modulation and demodulation source of the dsp on the development of wireless communication program was useful
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:126089
    • 提供者:张健
  1. MODEM 调制解调器

    0下载:
  2. C 语言开发的 MODEM 调 制解调器
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. ask调制解调 vhdl 仿真

    0下载:
  2. ask调制与解调的vhdl仿真
  3. 所属分类:VHDL编程

    • 发布日期:2012-04-03
    • 文件大小:68608
    • 提供者:yoyolkiki
  1. QAM解调芯片中载波恢复的设计与实现

    0下载:
  2. QAM解调芯片中载波恢复的设计与实现,文件为MATLAB 源程序,QAM demodulator chip carrier recovery in the design and realization of the source file for the MATLAB
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-09
    • 文件大小:1676059
    • 提供者:王尊严
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. 2fsk_final

    1下载:
  2. 全数字fsk调制解调的实现 verilog源码-All-digital realization of fsk modem verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:674626
    • 提供者:liulei
  1. FPGA3.~(6).SchDoc.Zip

    1下载:
  2. 一个用于数字解调的应用程序,主要用于数字接收机的应用方面-A demodulator for digital applications, mainly for the application of digital receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-14
    • 文件大小:75053
    • 提供者:李明
  1. QPSK

    0下载:
  2. 信号调制解调源代码代码-Signal modulation demodulator source code code
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:36832
    • 提供者:仇伟
  1. microcontroller

    2下载:
  2. 本系统以51单片机为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9850产生0Hz-30MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK信号,同时对ASK信号进行解调,恢复出原始数字序列。
  3. 所属分类:SCM

    • 发布日期:2015-05-07
    • 文件大小:2032238
    • 提供者:何勇
  1. 4

    0下载:
  2. GPS车辆监控系统移动通信协议 GPS接收部分包括一块GPS接收卡和一个专用天线。无线数传控制卡和GPS接收卡硬件上一体化,以串口相连;此外还含调制解调芯片,80C51CPU,若干外围器件等。-GPS vehicle monitoring system for mobile communication protocol GPS receiver includes a GPS receiver card and a dedicated antenna. Wireless data-transm
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:33774
    • 提供者:里浪
  1. ofdm_modulation_v72

    0下载:
  2. 基于altera 芯片得ofdm调制解调源程序-Altera chips were based on OFDM modulation and demodulation source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:924438
    • 提供者:liu
  1. FSKmodulationanddemodulation

    0下载:
  2. FSK调制与解调,整个设计基于ALTERA公司的QuartusⅡ开发平台,并用Cyclone系列FPGA实现。所设计的调制解调器具有体积小、功耗低、集成度高、软件可移植性强、扰干扰能力强的特点,符合未来通信技术设计的方向。-FSK modulation and demodulation, the entire design is based on ALTERA' s development platform Quartus Ⅱ, and Cyclone series FPGA implem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:575353
    • 提供者:张继峰
  1. niguan

    0下载:
  2. fsk的调制与解调 fsk的调制与解调 vhdl实现-fsk modulation demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:51858
    • 提供者:niguan
  1. DPSK调制解调VHDL程序

    0下载:
  2. 用于DPSK的调制解调 包括码型变换及反变换过程(Modulation and demodulation for DPSK, including code type conversion and inverse transformation process)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:15360
    • 提供者:红红火火mg
  1. 基于stm32的2FSK调制解调器源程序

    4下载:
  2. STM32F103单片机实现FSK调制解调,亲测可用(STM32F103 MCU FSK modulation and demodulation, pro test available)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-23
    • 文件大小:2331648
    • 提供者:haha321
  1. F429调制解调

    3下载:
  2. STM32F429开发板 编写的2FSK调制解调代码(2FSK Modem Code Written by STM32F429 Development Board)
  3. 所属分类:单片机开发

    • 发布日期:2020-05-14
    • 文件大小:9607168
    • 提供者:我要稳稳的
  1. 2FSK调制解调的FPGA实现(VHDL)

    1下载:
  2. 2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn)
  3. 所属分类:VHDL/FPGA/Verilog

  1. AM调制解调

    1下载:
  2. 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-20
    • 文件大小:41027584
    • 提供者:Emmanuel000
« 12 3 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com