CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 解调 PSK

搜索资源列表

  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. PSK

    0下载:
  2. 8.9 PSK调制与解调VHDL程序及仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:73121
    • 提供者:zhangfeng
  1. VHDLGW48

    0下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 MASK调制VHDL程序及仿真已经调试好的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:349633
    • 提供者:gillyamylee
  1. psk

    0下载:
  2. 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:293911
    • 提供者:叶峰
  1. FSKPSK

    0下载:
  2. FSK和PSK调制与解调的VHDL源程序.是在QUARTUS2环境下开发的.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:302068
    • 提供者:6822
  1. microcontroller

    2下载:
  2. 本系统以51单片机为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9850产生0Hz-30MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK信号,同时对ASK信号进行解调,恢复出原始数字序列。
  3. 所属分类:SCM

    • 发布日期:2015-05-07
    • 文件大小:2032238
    • 提供者:何勇
  1. elecfans.com-74783742

    1下载:
  2. FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1279053
    • 提供者:钟莉
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. PSK

    0下载:
  2. 关于PSK调制与解调的VHDL程序及仿真-PSK modulation and demodulation on the VHDL procedures and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:72849
    • 提供者:陈文诚
  1. 11

    0下载:
  2. ASK调制与解调系统VHDL程序及仿真-ASK modulation and demodulation system and simulation of VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:41987
    • 提供者:jack wolf
  1. jueduima

    0下载:
  2. psk 调制 解调 中绝对码到相对码转换的vhdl程序-psk modem absolutely yards to the relative code-switching in vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3776
    • 提供者:zhangpeng
  1. PSK-VHDL

    0下载:
  2. PSK调制与解调VHDL程序及仿真,仿真通过-PSK modulation and demodulation process, and VHDL simulation, simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:73004
    • 提供者:海玲
  1. FPGA_verilog

    0下载:
  2. FPGA很有价值的27实例:如ASK、PSK、FSK调制与解调VHDL程序及仿真等-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1278780
    • 提供者:
  1. tiaozhi

    0下载:
  2. 使用vhdl完成了ask psk fsk的调制和解调-Completed using vhdl ask psk fsk modulation and demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:488998
    • 提供者:xxhlshe
  1. FPGA

    0下载:
  2. 基于FPGA的通信系统调制解调,包括理论知识介绍和VHDL程序。包含2ASK ,2FSK,2PSK -FPGA-based modem communication systems, including the introduction of theoretical knowledge and the VHDL program. Contains 2ASK, 2FSK, 2PSK
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:606869
    • 提供者:songlina
  1. ask-psk-qpsk

    0下载:
  2. ask,psk ,qpsk 调试解调verilog源码,是无线通信fpga设计这本书上的,比较简单的实现方式-ask, psk, qpsk debugging demodulator verilog source, is a wireless communications fpga design of this book, a relatively simple way to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4924
    • 提供者:陈占田
  1. PSK

    0下载:
  2. 实现psk调制解调,vhdl代码,仿真文件也有-psk shixian tiaozhiyujietiao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:42726
    • 提供者:曹华杰
  1. PSK-modulation-VHDL

    0下载:
  2. PSK调制与解调VHDL程序及仿真,本文为DOC文档,附有源码和仿真波形-PSK modulation and demodulation VHDL program and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:76760
    • 提供者:
  1. PSK-mod-demod-VHDL

    0下载:
  2. vhdl版本的bpsk调制和解调程序,超级实用-bpsk vhdl mod/demod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:73659
    • 提供者:gone
  1. 3M

    0下载:
  2. 在FPGA实验操作系统实现ASK,FSK,PSK的调制解调,基带信号由M序列发生器产生,经过AD模块在示波器上进行显示,精油DA模块在同一块实验板上进行解调操作,生成信号控制LED灯的亮灭,并与调制输出信号在示波器上同时展示,并进行对比。基带信号为3MHz。(In the FPGA operating system experiment implementation ASK, FSK, PSK modulation and demodulation of the baseband signal
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:48144384
    • 提供者:ryanshuai
« 12 »
搜珍网 www.dssz.com