CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 除法

搜索资源列表

  1. 科学计算方法_51_256

    0下载:
  2. 51汇编语言,格式为.chm文件,这个好象就是九十年代初孙涵芳(如果我没记错的话)写的一 本书后附的子程序。子程序不全对,至少有个除法的余数有问题,扩大了2倍,我记不清是哪个了,你把其中的一个左移语句去掉就行了,别的记不得了。欢迎常联系。-51 assembly language format. Chm documentation, It was like the early 1990s-wu (if I remember correctly so) wrote a book attached
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:35477
    • 提供者:陈三公子
  1. 无符号数除法子程序16×16

    0下载:
  2. PIC单片机无符号数运算子程序,可以直接调用!-PIC unsigned few Operational subroutine can directly call!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1442
    • 提供者:郝晓兵
  1. 16_16DIV

    0下载:
  2. 多位数除法程序,满足在单片机编程中对除法程序的需要,解决了单片机指令无除法程序的缺点,而且本程序不限制位数。-over the median divider, which meets in MCU Programming division procedures to the needs of SCM solutions division procedures directive without shortcomings, but the procedure does not limit the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4771
    • 提供者:方丹
  1. FDSJS

    0下载:
  2. 一个浮点数计算子程序,浮点数格式化,加,减对阶,结果规格化,浮点数乘法,浮点数除法等 -a float calculation subroutine, floats, formatting, plus or minus the bands, the result is normalized, floats, multiplication, division, etc. float
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2365
    • 提供者:干树川
  1. ha0014s

    0下载:
  2. MCU加、减、乘、除法,包含单字节到多字节,可直接调用-MCU, plus or minus, multiplication and division, including more single-byte to byte can be called directly
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:6913
    • 提供者:chengxd
  1. 单片机子程序库

    1下载:
  2. 目前已有若干版本的子程序库公开发表,它们各有特色。本程序库中的开平方算法为快速逼近算法,它能达到牛顿迭代法同样的精度,而速度加快二十倍左右,超过双字节定点除法的速度.-there are several versions of the subroutine library published their own characteristics. The library opened in the square approximation algorithm for rapid algorithm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2930
    • 提供者:王辉
  1. 单片机除法

    1下载:
  2. 这是一个在51单片机上用汇编实现的除法程序。-This one of the 51 Series MCU used to achieve the division procedures.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:825
    • 提供者:杨海
  1. struct

    0下载:
  2. 本程序用作pid控制,不过基于AVR硬件乘除法,移植性不高,本人是作者。-the procedures for pid control, but based on the hardware AVR calculation method, transplantation is not high, is the author.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1759
    • 提供者:windy
  1. C51div

    0下载:
  2. 一种实用的单片机多字节除法算法以及实现的汇编源代码。稍加改动就能作为子程序调用。相对实用。-a practical multi-byte microcontroller division algorithm and the realization of the compilation of source code. Little changes can serve as a subroutine call. Relative practical.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4842
    • 提供者:王海
  1. multiply

    0下载:
  2. 本上载源码解决了16位二进制数的乘法,32为除以16位二进制数的除法-uploaded the source code to solve the 16 binary multiplication of the number, 32 to 16 divided by the number of binary division
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4761
    • 提供者:guorui
  1. 除法32

    0下载:
  2. 基于51单片机的32位除法程序-based on the 32-bit microcontroller division procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1354
    • 提供者:刘役
  1. 背景减除法-三帧法-高斯背景建模法运动检测-VC

    0下载:
  2. 背景减除法-三帧法-高斯背景建模法运动检测-VC
  3. 所属分类:嵌入式Linux

  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. mutl16 实现16位移位乘法和除法

    1下载:
  2. 实现16位移位,可以实现乘法和除法。满足设计要求,实现代码简短,用verilog完成方便,容易操作。-Achieve 16-bit shift, multiplication and division can be achieved. Meet the design requirements to achieve a short code, complete with verilog convenient, easy to operate.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:1579
    • 提供者:吴海勇
  1. divider

    1下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:900
    • 提供者:jh
  1. 除法器的设计本文所采用的除法原理

    0下载:
  2. 除法器的设计本文所采用的除法原理是:对于八位无符号被除数A,先对A转换成高八位是0低八位是A的数C,在时钟脉冲的每个上升沿C 向左移动一位,最后一位补零,同时判断C的高八位是否大于除数B,如是则C的高八位减去B,同时进行移位操作,将C的第二位置1。否则,继续移位操作。经过八个周期后,所得到的C的高八位为余数,第八位为商。从图(1)可清楚地看出此除法器的工作原理。此除法器主要包括比较器、减法器、移位器、控制器等模块。-Divider design used in this paper, the p
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-25
    • 文件大小:4286
    • 提供者:老毕
  1. 在VHDL中实现高精度快速除法

    2下载:
  2. 高精度的浮点数除法运算,基于浮点运算的FPGA实现,单精度浮点数-High-precision floating-point division operation, the FPGA based on the realization of floating-point operations, single precision floating point
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-19
    • 文件大小:81437
    • 提供者:jiachen
  1. chufaqichengxu

    0下载:
  2. 除法器程序,除法器模块,定点数除法的相关代码。-Divider procedures, divider module, the related fixed-point code division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:391808
    • 提供者:jiachen
  1. div_res

    0下载:
  2. 这是一个用VERILOG实现的除法的指令,用状态机实现的,希望对大家有用-THIS IS A CODE FOR DIV OF VERILOG。ITS USEFUL...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:853
    • 提供者:tom
  1. 单片机除法

    0下载:
  2. 这是一个在51单片机上用汇编实现的除法程序。-This one of the 51 Series MCU used to achieve the division procedures.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:874
    • 提供者:rilTh
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com