CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 随机序列

搜索资源列表

  1. 伪随机序列的说明和源代码

    0下载:
  2. 可控m序列产生器我分成四个小模块来做,M,M1,M2,M3分别对应为:m序列产生器、控制器、码长选择器、码速率选择器。-controllable m-sequence generator, I divided into four small modules do, M, M1, M2, M3, respectively : m-sequence generator, controller, code-selector, code rate selector.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32624
    • 提供者:王力
  1. omf

    0下载:
  2. 伪随机序列编码源程序-an encode program of pseudo-random sequence
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1494
    • 提供者:杨洋
  1. vhdl

    4下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1054
    • 提供者:文成
  1. Pseudo-random-code

    0下载:
  2. 基于FPGA实现的伪随机序列快速同步.rar
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:185250
    • 提供者:双方都
  1. NL_prsg9

    0下载:
  2. vhdl的伪随机序列发射器程序,已经过仿真,仿真正确且能够成功应用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:850
    • 提供者:张海风
  1. generate_prbs

    0下载:
  2. 移动通讯PHY设计中用到的数据块的随机序列产生模块,用于加扰处理。ADI的TS系统DSP平台。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:1278
    • 提供者:fangyougang
  1. 单片机M序列发生器

    5下载:
  2. 基于51单片机实现的M序列发生器(伪随机序列),在Keil编程环境下的源码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-01-25
    • 文件大小:2554
    • 提供者:John
  1. 用伪随机序列实现加密保护

    0下载:
  2. 本文讨论了用伪随机序列实现加密保护的原理,方法及可编程逻辑器件(pLD)实现的具体方法,在此基础上 介绍了一种基于FPGA的网络传输信道加密系统。 关键词 网络安全 伪随机序列 加密 FPGA
  3. 所属分类:嵌入式/单片机编程

  1. work.rar

    0下载:
  2. 上载的内容为随机信号处理的作业,具体是:其中W(t)为均值为零,方差为3的白噪声。 (1)产生若干组500个点长随机序列。 (2)找一个ARMA模型与(1)中的500个点匹配。 (3)在产生一个500个点长的随机序列校正。 ,Upload the contents of random signal processing operations, specifically: one of W (t) for the mean zero, variance of white noise for
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-27
    • 文件大小:1032
    • 提供者:tianli
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. gen_displayer

    0下载:
  2. 基于线性反馈移位寄存器电路,并结合FPGA 的特有结构,一种简捷而又高效的伪随机序列产生方法-The Implementation and Research on Pseudo-Random Number Generators with FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2150
    • 提供者:王晓飞
  1. c21_pn_code_generator

    0下载:
  2. 精通verilog HDL语言编程源码之7——伪随机序列应用设计-Proficient in programming language source verilog HDL of 7- the application of pseudo-random sequence design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:李平
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. prbsforip

    0下载:
  2. 本文设计了一种简捷而又高效的伪随机序列产生方法,最后通过统计对比,说名这种方法产生的随机序列不仅周期长 还具有两好的随机特性-This paper designed a simple and efficient method for the selection of pseudo-random sequence, and finally through statistical comparison, saying that this method of random sequence gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268622
    • 提供者:5656
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. m_vhdl

    0下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。-Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial valu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:660
    • 提供者:haodiangei
  1. vhdl 伪随机序列发生器

    2下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X3+X7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)
  3. 所属分类:VHDL编程

« 12 3 4 5 »
搜珍网 www.dssz.com