CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 随机

搜索资源列表

  1. 用伪随机序列实现加密保护

    0下载:
  2. 本文讨论了用伪随机序列实现加密保护的原理,方法及可编程逻辑器件(pLD)实现的具体方法,在此基础上 介绍了一种基于FPGA的网络传输信道加密系统。 关键词 网络安全 伪随机序列 加密 FPGA
  3. 所属分类:嵌入式/单片机编程

  1. work.rar

    0下载:
  2. 上载的内容为随机信号处理的作业,具体是:其中W(t)为均值为零,方差为3的白噪声。 (1)产生若干组500个点长随机序列。 (2)找一个ARMA模型与(1)中的500个点匹配。 (3)在产生一个500个点长的随机序列校正。 ,Upload the contents of random signal processing operations, specifically: one of W (t) for the mean zero, variance of white noise for
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-27
    • 文件大小:1032
    • 提供者:tianli
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. c21_pn_code_generator

    0下载:
  2. 精通verilog HDL语言编程源码之7——伪随机序列应用设计-Proficient in programming language source verilog HDL of 7- the application of pseudo-random sequence design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:李平
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. rsd1

    1下载:
  2. 单频加白噪声的随机信号分析模型,包括经典的周期图法和AR模型-Add single-frequency white noise random signal analysis model, including the classic periodogram and AR model
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:922
    • 提供者:huangyi
  1. prbsforip

    0下载:
  2. 本文设计了一种简捷而又高效的伪随机序列产生方法,最后通过统计对比,说名这种方法产生的随机序列不仅周期长 还具有两好的随机特性-This paper designed a simple and efficient method for the selection of pseudo-random sequence, and finally through statistical comparison, saying that this method of random sequence gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268622
    • 提供者:5656
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. rand

    0下载:
  2. 随机函数,可以任意设置随机函数范围 随机函数,可以任意设置随机函数范围-rand function,to set any range random number
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:542
    • 提供者:王仕奇
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. hou

    0下载:
  2. 这是一个通信系统仿真随机过程的源程序,希望能对你有用 -hi,heihie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1182
    • 提供者:mr hou
  1. interlace

    0下载:
  2. 根据MATLAB中的伪随机交织器产生的交织图案初始化到ROM中,从ROM中读取交织图案对输入数据进行交织。同时也可根据解交织图案进行解交织,同样的算法。-In accordance with MATLAB generated pseudo-random interleaver initialization pattern woven into the ROM, read from the ROM interwoven interwoven pattern of input data. Can a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1216
    • 提供者:源天
  1. 8051Pproteus-108

    0下载:
  2. 《单片机C语言程序设计实训100例——基于8051+Proteus仿真》案例压缩包 第 03 篇 综合设计 08 1602LCD随机模拟显示乘法口诀-" Microcontroller C Programming Language Training 100 cases- based on 8051+ Proteus simulation," Case No. 03 compressed package integrated design 08 1602LCD stocha
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:25404
    • 提供者:王小明
  1. lcd1602

    0下载:
  2. 08 1602LCD随机模拟显示乘法口诀08 1602LCD随机模拟显示乘法口诀-08 1602LCD stochastic simulation shows the multiplication formulas 08 1602LCD stochastic simulation shows the multiplication formulas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:22921
    • 提供者:华生
  1. stochastic-resonance-for-labview

    1下载:
  2. 随机共振的labview仿真,很好的,清晰看见随机共振的结果-Labview simulation of stochastic resonance, a good, clear to see the results of stochastic resonance
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:33639
    • 提供者:向林
  1. 随机密码发送

    0下载:
  2. 串口发送开始信号,数码管随机生成十位密码,然后通过按键选择六位密码,按下确认键,将密码发送至上位机(Serial port to send the start signal, the digital tube randomly generated ten password, and then press the button to select the six password, press the Enter key to send the password to the host compu
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:1532928
    • 提供者:
  1. AD随机值生成PWM

    0下载:
  2. 根据AD引脚采到的AD值生成不同频率的PWM(Generate different frequencies of PWM according to the AD value taken by the AD pin)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-07
    • 文件大小:3957760
    • 提供者:啊啊啊啊AA
  1. 20180125_5M_01

    0下载:
  2. 基于verilog产生伪随机二进制序列,序列速率为5M(A pseudo-random binary sequence based on verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:4717568
    • 提供者:沿途ing
  1. 24C02 i2c

    0下载:
  2. 本程序用按键计数测试24C02随机读写程序,每次从0位开始读数据,读到标记位后返回变量,下次写入又从标记位写入,相当于写入一个8位数据在24C02的2个地址分别写入了一次,但可以充分利用24C02的256个地址(0-255),对24C02寿命有一定延长作用。24C02使用过一次之后可以删除初始化函数中的write_at24c02(255,0)语句。(Button count test 24C02 random read and write procedures for the program,
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:31744
    • 提供者:HeYT
  1. vhdl 伪随机序列发生器

    2下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X3+X7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com