CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 骰子

搜索资源列表

  1. pic-solution

    5下载:
  2. PIC单片机的解决方案和源代码。有很多用PIC单片机做的电子产品的源代码。资料来自高奇公司。 产品方案说明及其源程序 ◆ PIC 16x84工具包 ◆ 标准时间调整计时器 ◆ 虫子驱赶器 ◆ 电子仪表 ◆ 高度计 ◆ 魔术音乐 ◆ 情绪调节器 ◆ 数字定时器 ◆ 音乐日规 ◆ 游戏程序 ◆ PIC MCU指南 ◆ 彩票预测器 ◆ 大脑清醒仪 ◆ 调谐器 ◆ 家用防盗报警器 ◆ 跑表
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:657466
    • 提供者:张清平
  1. fpgashaizi

    0下载:
  2. 这是用FPGA实现的设计两人掷骰子比较点大小的游戏,里面有详细的程序源码及分析,希望有些帮助-This is the design with FPGA realizing compare two dice game, the size of the points are detailed in the program source and analysis, hope some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:465478
    • 提供者:yanshuan
  1. fangsaizichengxu

    0下载:
  2. 编写一个仿骰子程序,将六面体骰子掷6000次,统计出各面出现的次数。每次投掷骰子出现哪一面,由随机数发生器提供的函数经过处理而确定-Procedures for the preparation of an imitation dice will hexahedron dice throwing objects from a 6000 meeting, the statistics of the number of the surface there. Which occur every time
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1641
    • 提供者:123
  1. SuperDice

    0下载:
  2. 基于TI公司的MSP430单片机的电子骰子-Based on TI' s MSP430 MCU electronic dice
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:33104
    • 提供者:qq
  1. 18pinLCM

    0下载:
  2. 自己做的课程设计。投骰子游戏机 用LCM显示的。 其中的随机数算法非常犀利。注释非常详细。 -Own course design. Cast dice game with LCM display. One of the random number algorithm is very sharp. Very detailed comments.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:78687
    • 提供者:ICEBAT
  1. Lab_5-Dice-Game

    0下载:
  2. dice_game该程序可重现赌场游戏掷骰子. 游戏规则详见上传的LAB5实验描述。-dice_game The program can reproduce the casino game craps the game rules see the uploaded LAB5 experiments described.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:774328
    • 提供者:廖成晨
  1. Electronic-dice

    0下载:
  2. MSP430的开发程序,实现电子骰子的功能。-MSP430 development process, realize the function of electronic dice.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:62280
    • 提供者:
  1. keshe

    0下载:
  2. 也许是一个比较有用的数码骰子。。经过随机数然后进行数码管显示-Perhaps a more useful digital dice. . After a random number and then the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:23731
    • 提供者:wang
  1. diutouzi

    0下载:
  2. 光立方3D8像骰子一样只要按一个键就可以实现丢骰子-Light Cube 3D8 like dice, like the push of a button you can achieve throw dice
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:3018
    • 提供者:TTS
  1. zhitouzi

    0下载:
  2. 原创。掷骰子游戏,VHDL,quartus,北京邮电大学数电实验,实现随机掷骰子游戏,在数码管显示点数,点阵显示输赢,有开机动画以及开机音乐,可实现多人游戏等-games, VHDL, quartus,experiments of BUPT, pure originality,random game, in the digital display dots, dot matrix display winning or losing, there are boot animation and bo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:11800569
    • 提供者:bamboo
  1. the_last

    0下载:
  2. VHDL语言实现两个人掷骰子游戏,最多6次,大者胜则结束游戏并在点阵上显示,一直平手则一直进行直到达到6次。-Achieving the dice game between two people by using VHDL language.The maximum number of times is 6.The game will over when there is a biger one in one time,otherwise,the game will continue until
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8778520
    • 提供者:Lancy Green
  1. 1_07607_071929_21

    0下载:
  2. 关于掷骰子问题的概率问题,主要完成的功能是以上问题,很好的程序,大家一定要用余额下载!-dice
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-30
    • 文件大小:8143
    • 提供者:gang262460
  1. 工程

    0下载:
  2. C语言模拟投掷骰子游戏,求出玩100盘之后谁是胜利者 人机猜数问题(The C language simulate the dice game, and find out who is the winner after playing the 100 set.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:萌新一号
搜珍网 www.dssz.com