CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - FPGA PWM

搜索资源列表

  1. PWM

    1下载:
  2. 利用VHDL语言实现FPGA的PWM输出波形,占空比可控
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36870
    • 提供者:王传辉
  1. FPGA_PWM

    0下载:
  2. 用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!-Using Verilog languages FPGA control PWM procedures. Using pulse code disk for governor, conducted a simple test that can be used. Not after a long-term verification. To do a simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009
    • 提供者:温海龙
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. EP1C3_12_1_2_MOTO

    0下载:
  2. 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1193917
    • 提供者:deadtomb
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. CLanguageProgrammingForTheDevelopmentOfThoseGuidel

    0下载:
  2. 本文举例说明了如何用软件实现脉宽调制(PWM),如何将该设计转换成一个可以在FPGA中运行的逻辑块,并能利用存储器映射I/O接口通过软件完成对该逻辑块的控制。- the paper illustrates how to use software pulse width modulation (PWM), how can the design into a run in the FPGA logic blocks, and can use memory mapped I/O Interface c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:135735
    • 提供者:susuwen
  1. meanFilter

    0下载:
  2. This is a variable length window averaging filter that uses an MCP3002 ADC with SPI interface to sample an analog input, and has a PWM that can be run through a low-pass filter to produce an analog output. The design was simulated in Modelsim with no
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:16708
    • 提供者:Kelton
  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
  1. PWMcore

    1下载:
  2. 基于xilinx FPGA软核microblaze编写的PWM波产生IP核,在EXCD开发板上调试通过,内附UCF文件和说明-it s an IP core based on microblaze,it can produce pwm wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17748
    • 提供者:JK
  1. PWM_DA

    1下载:
  2. 可以产生PWM波形文件 ,熟悉基于FPGA的开发流程 自己写的程序-PWM waveform files can be generated, FPGA-based development process familiar to write their own programs
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:196478
    • 提供者:冯超
  1. pwm_timer

    0下载:
  2. PWM和Timer的FPGA实现,文档代码齐全。-PWM and Timer for FPGA implementation, documentation, code complete.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:271429
    • 提供者:kele
  1. FPGAspwm

    0下载:
  2. 在Xilinx公司的Spartan ⅡE系列的XC2S100E pq-208 FPGA芯片上完成PWM波和SPWM波控制信号,控制电力电子器件IGBT和MOSFET构成的斩波、逆变输出电路,实现直流稳压和SPWM交流调频输出。-In Xilinx' s Spartan Ⅱ E Series XC2S100E pq-208 FPGA chip to complete SPWM wave PWM control signal wave and control the power electro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4187
    • 提供者:申小强
  1. pwmtest

    0下载:
  2. 赛灵思fpga 通过PWM的方式实现软件DA输出正弦波-fpga pwm da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223060
    • 提供者:王明
  1. pwm_out

    0下载:
  2. PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40423
    • 提供者:王砂
  1. pwm

    0下载:
  2. 基于FPGA实现的脉宽调制技术,可应用LED与电机驱动。-Pulse-width modulation based on FPGA technology can be applied to LED and motor drive.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1062051
    • 提供者:林积分
  1. pwm_out1.0

    0下载:
  2. DE2 FPGA的开发例程,PWM波的产生,适合于初学者。-DE2 FPGA development routines, PWM wave generation, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:412725
    • 提供者:zh
  1. PWM

    0下载:
  2. 基于FPGA的PWM的一小段代码!用VERILOG 写的,主要是控制一盏led灯的亮度问题-Based on FPGA PWM of small pieces of code! VERILOG with written, main is to control a lamp that led lamp brightness problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3032550
    • 提供者:zhangyuguang
  1. FPGA控制的红外循迹小车

    3下载:
  2. FPGA控制的红外循迹小车八个传感器利用PWM进行控制转弯和前进后退可以自启动
  3. 所属分类:VHDL编程

  1. FPGA-PWM-Quartus

    0下载:
  2. 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1049621
    • 提供者:叶东林
  1. dianziqin

    0下载:
  2. 基于FPGA的电子琴动态录音与回放系统在FPGA的基础上设计系统的核心功能模块,再配合相应外围电路,在实现了电子琴基本功能的同时,还增加了演奏音乐的存储功能。(The core function module of FPGA electronic organ dynamic recording and playback system based on FPGA based, together with the corresponding peripheral circuit, in the re
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:21975040
    • 提供者:幽山之隅
« 1 23 4 5 6 »
搜珍网 www.dssz.com