CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - FPGA PWM

搜索资源列表

  1. Source

    0下载:
  2. PWM的Verilog HDL代码用于FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2390
    • 提供者:张猛蛟
  1. 5050PWM_V54

    0下载:
  2. FPGA 实现基于ISA接口的3路编码器计数,和3路PWM/DA输出 编码器计数包括倍频、鉴相 PWM实现12位分辨率
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1084775
    • 提供者:吴波
  1. car1.rar

    2下载:
  2. 基于FPGA控制的红外循迹小车,八个传感器,利用PWM进行控制转弯和前进后退,可以自启动,FPGA-based infrared tracking control car, eight sensors, using PWM to control turning and forward and back, you can self-starting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:424101
    • 提供者:shi jiaying
  1. pwm_key

    0下载:
  2. 用fpga ep2c8Q208实现的按键可控PWM信号发生器 (按键加了消抖模块,PWM寄存器位宽为32位)-Achieved with the fpga ep2c8Q208 PWM control signal generator key (key plus the debounce module, PWM register bit width is 32 bits)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:729898
    • 提供者:Johnny chen
  1. yongFPGAshixiansandianpingPWMfashengqi

    0下载:
  2. 用FPGA实现三电平PWM发生器的完整资料-Using FPGA to achieve three-level PWM generator complete information
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-19
    • 文件大小:5394908
    • 提供者:xcy
  1. PWM_IP

    0下载:
  2. 电源控制系统的PWM核,测试可用...FPGA-Power IP,PWM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:22680
    • 提供者:eric
  1. MyPWM

    0下载:
  2. 基于FPGA ALTERA EP2C5Q8208C8,自制PWM控制器,配合上位机定时器-based on FPGA ALTERA EP2C5Q,PWM controller,with MCU TIMER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2494346
    • 提供者:廖干洲
  1. FPGA_PWM

    0下载:
  2. 基于FPGA的温度检测和PWM风冷系统的设计与实现,很要用的一片论文。-FPGA-based temperature measurement and PWM cooling system design and implementation, is to use a piece of paper.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:590183
    • 提供者:吴展理
  1. DCmotor

    0下载:
  2. 给出了具体的基于FPGA的直流电机PWM控制VHDL程序,可用于毕业设计。-Given a specific FPGA-based DC motor PWM control VHDL program can be used to graduation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3188795
    • 提供者:张三
  1. verilogdepwm

    0下载:
  2. 用verilog写的一个用FPGA产生PWM波的源码-Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1283
    • 提供者:王品一
  1. PWMshuchukongzhiLED

    0下载:
  2. 艾米电子工作室的,用PWM输出控制LED显示,详细的程序讲解和步骤可以是大家进一步学习FPGA-Amy electronic studio, with the PWM output control LED display, detailed procedures and steps can you explain further learning FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:171322
    • 提供者:闫晓辉
  1. fpga

    0下载:
  2. FPGA代码,包含地址译码模块、16位锁存器、AD片选、死区及滤除窄脉冲、过流和短路保护、解除脉冲封锁模块、PWM模块、PWM选择 -FPGA code, including the address decoder module 16 latches, AD chip select, filter out the dead and narrow pulse, overcurrent and short circuit protection, lifting the blockade puls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:172034
    • 提供者:qiqi
  1. PWM-IS

    0下载:
  2. control Pulse width modulation (PWM) using VHDL code and Block schematic.the selection switch at the FPGA board is important to control the duty cycle of PWM.For example application that can be used is to control speed dc motor.-control Pulse width m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1931120
    • 提供者:abdul
  1. PWM

    1下载:
  2. 基于FPGA的PWM控制器设计,包含ADC0820模块,按键扫描,PID,PWM控制器等模块,VHDL语言完成,已仿真通过-PWM controller design based on FPGA, including ADC0820 module, key scan, PID, PWM controllers and other modules, VHDL language completed, through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:787585
    • 提供者:hbxgwjl
  1. PWM-Smart_CAR_Project

    0下载:
  2. FPGA循迹小车,可自回归,可进行PWM互补调速-FPGA car tracking, self-regression, can be complementary PWM Speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:438222
    • 提供者:QinYUN575
  1. pwm

    0下载:
  2. VHDL, quartet 2 , FPGA, cyclone II, controllen PWM brightness
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:729
    • 提供者:zeez
  1. Nexys4FFTDemo-master

    0下载:
  2. A simple Verilog example of a 4096pt FFT on analog input from a Nexys 4 XADC. The input is sampled at 1MSPS, oversampled to produce 14-bit samples at 62.5kHz, then sent to the FFT processing modules and passed through to PWM Audio out. The FFT output
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:181248
    • 提供者:jason912
  1. F0501

    1下载:
  2. 汽车VCU控制器测试工装的程序,STM32单片机扩展总线读写FPGA内部RAM,DDS方式产生PWM,PWM频率,脉宽测量功能(Automotive VCU controller test tooling procedures, STM32 microcontroller expansion bus read and write FPGA, the internal RAM, DDS way to generate PWM, PWM frequency, pulse width measurem
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:7674880
    • 提供者:nan211
  1. 至简设计法--特效呼吸灯

    0下载:
  2. 特效呼吸灯 工程说明 本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。 案例补充说明 呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。(Special effects breathing lamp Engineering descr iption The functional r
  3. 所属分类:嵌入式/单片机编程

  1. pwm_ztj_wo(20171127modesim_ok)

    0下载:
  2. 用状态机完成pwm的实现,状态完整,思路清晰,设计后用modesim做了验证,并用于电路设计中。(The realization of PWM is completed with a state machine. The state is complete and the train of thought is clear. After the design, it is verified with modesim and used in the design of the circuit.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-23
    • 文件大小:119808
    • 提供者:godlovejie
« 1 2 3 45 6 »
搜珍网 www.dssz.com