CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - FPGA QPSK

搜索资源列表

  1. modulation

    1下载:
  2. 基于FPGA的调制,实现了QPSK调制,所用芯片为Artera的CycloneIIEp2C5T114C8
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:996
    • 提供者:liqijun
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the corr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:41259
    • 提供者:杨杨
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. FPGA_QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调器的设计,很不错!-FPGA-based QPSK modem design, very good!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1214547
    • 提供者:张大龙
  1. Costas

    1下载:
  2. 介绍了某直接序列扩频、QPSK调制系统接收通道中四相Costas 载波跟踪环的原理及其基于 DSP+FPGA 的实现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:562345
    • 提供者:fy
  1. qpsk_send

    0下载:
  2. QPSk发射过程的FPGA实现程序!参考意义重大!-The fpga implementation program of qpsk send process.with great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:722306
    • 提供者:li tony
  1. All-DigitalQPSK-Demodulator

    0下载:
  2. Altem公司quartus II 8.1开发环境下,完成了中频全数字解调器的FPGA实现,并对数 字下变频、载波同步、位同步等解调器的核心模块设计进行了详细的分析和说明,给出 了实现框图和仿真波形。同时在本设计中应用了Altera公司的NiosII软核处理器技术, 用于载波的大频偏校正和解调器各个部分的监测和控制。最后给出了QPSK中频全数字 解调器关键性能指标的测试方法和测试结果,测试结果表明本设计达到了预期的性能指 标要求。-The Algorithm is con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4328174
    • 提供者:zhuimeng
  1. QPSK_fpga

    1下载:
  2. QPSK调制和解调的FPGA实现,包括伪码生成等模块-QPSK modulation and demodulation of the FPGA, including the pseudo-code generation modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1930240
    • 提供者:vincentspace
  1. ask-psk-qpsk

    0下载:
  2. ask,psk ,qpsk 调试解调verilog源码,是无线通信fpga设计这本书上的,比较简单的实现方式-ask, psk, qpsk debugging demodulator verilog source, is a wireless communications fpga design of this book, a relatively simple way to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4924
    • 提供者:陈占田
  1. qpsk_demod_use_FPGA

    2下载:
  2. 根据软件无线电的思想,提出了一种新颖的数字信号处理算法,对QPSK信号的相位进行数字化处理,从而实现对QPSK信号的解调.该算法允许收发两端载波存在频差,用数字锁相实现收发端载波的同步,在频偏较大的情况下,估算频偏的大小,自适应设置环路的带宽,实现较短的捕获时间和较好的信噪性能。整个设计基于XILINX公司的ISE开发平台,并用Virtex-II系列FPGA实现。用FPGA实现调制解调器具有体积小、功耗低、集成度高、可软件升级、扰干扰能力强的特点,符合未来通信技术发展的方向。-According
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:64716
    • 提供者:马文
  1. QPSK_R

    1下载:
  2. QPSK的FPGA实现,QPSK的调制实现-FPGA implementation of QPSK QPSK modulation to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:13946880
    • 提供者:姜新洲
  1. QPSK_T

    3下载:
  2. QPSK解调器的FPGA实现,VERILOG源码-FPGA implementation of QPSK demodulator,VERILOG source
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4071290
    • 提供者:姜新洲
  1. QPSK

    1下载:
  2. 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1442816
    • 提供者:卢梦明
  1. FPGA

    1下载:
  2. verilog编写的QPSK发射机的FPGA部分,已经过验证,完全达到要求。调制矢量误差4%-QPSK transmitter verilog prepared by the FPGA portion, has been proven, fully meet the requirements. Modulation vector error of 4
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:13346196
    • 提供者:zhengqi
  1. QPSK

    0下载:
  2. QPSK调制是数字调制解调中 最为常见的调制方式之一,此Verilog 文件可以在FPGA上实现QPSK的调制方式-QPSK modulation is one of the most common digital modem modulation scheme, this Verilog file QPSK modulation scheme can be implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:796
    • 提供者:陆从乐
  1. QPSK调制解调器的设计及FPGA实现

    1下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:6838272
    • 提供者:muweng
  1. 基于GMR-1系统下行链路的π_4-CQPSK解调方法

    1下载:
  2. pi4 qpsk的付费论文,讲述FPGA实现的,非常值得学习(Pi4 QPSK's paid papers will be very useful for FPGA.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-15
    • 文件大小:516096
    • 提供者:Haiton
« 12 »
搜珍网 www.dssz.com