CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - HUFFMAN ENCODING

搜索资源列表

  1. Huffman.c2

    0下载:
  2. 1.在发送端通过一个编码系统对待传数据预先编码,在接收端将传来的数据进行译码(复原)。对于双工信道(即可以双向传输信息的通道),每端都需要一个完整的编/译 码系统。 2.本程序的目的是大大提高通信的信道利用率,缩短信息传输时间,降低传输成本。 -1. In the transmitter through a coding system for pre-treatment data-coding the receiving end of data from the decodin
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2385
    • 提供者:犯得上
  1. huffumancoding.rar

    0下载:
  2. 哈夫曼编码,有关编码与解码的哈夫曼实验程序,在xilinx机子上跑过,能用,Huffman coding, the encoding and decoding of Huffman experimental procedure, the machine ran Xilinx, can be
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-08
    • 文件大小:349331
    • 提供者:杨梅
  1. code

    0下载:
  2. Code for Compress the image using Huffman encoding
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:292288
    • 提供者:jai
  1. mkjpeg.tar

    1下载:
  2. 用FPGA实现的JPEG编码器,可以直接使用,内含完成说明文档,经过验证无误。-• JPEG baseline encoding JPEG ITU-T T.81 | ISO/IEC 10918-1 • Standard JFIF header v 1.01 automatic generation • Color images only (3 components, RGB 24 or 16 bit, YUV input) • T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-04
    • 文件大小:21650432
    • 提供者:
  1. HDL_Syn_V3.1

    0下载:
  2. 哈夫曼编码 包括synthesis优化。 Huffman encoding verilog code including synthesis optimization.-Huffman coding involves synthesis optimization. Huffman encoding verilog code including synthesis optimization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:54477
    • 提供者:
  1. DCT_verilog

    0下载:
  2. DCT是数字图像处理中的一种基础算法,实现从时域到频域的转换,从而去掉时域中数据的相关性,有利于量化后对变换系数采用游程编码和Huffman编码。-DCT is a digital image processing a basic algorithm to achieve the conversion the time domain to the frequency domain, and thus remove the domain relevance of data in favor of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:507958
    • 提供者:荣志强
  1. Huffman115

    0下载:
  2. 哈夫曼编码/译码器,可以对文字进行编码和译码,对文件进行加密和解密-Huffman encoding/decoding, and can encode and decode text on the document for encryption and decryption
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2320
    • 提供者:tun644975
  1. 哈夫曼编码器设计实验报告

    2下载:
  2. 要求对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 ①组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 ②输入数据序列的长度为256。 ③先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。(Design a 1MHz FIR low pass filter. Huffman coding is required for a section of data sequence to m
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-04
    • 文件大小:182272
    • 提供者:羊羊驼
搜珍网 www.dssz.com