CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Square root

搜索资源列表

  1. vhdl平方根

    0下载:
  2. 计算某数的平方根,VHDL语言,使用简单-calculate the square root of a number, VHDL, use simple
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:40483
    • 提供者:wl
  1. aaaasqrt

    0下载:
  2. 功能:pic单片机四字节无符号数开方 入口条件:被开方数在S2,S3,S4,S5 出口信息:平方根在S2,S3中,整数部分的位数为原数一半,其余小数-functions : pic microcontroller four-byte unsigned number prescribing import conditions : radicand in S2, S3, S4, S5 export information : square root of the S2, S3, int
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1563
    • 提供者:金明
  1. SQRT

    0下载:
  2. computes the square root of a 16 bit number in pic16c
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1763
    • 提供者:zwj
  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. squareroot.rar

    1下载:
  2. vhdl源代码,可以开16比特的平方根,算法简单,速度快,this is a vhdl code for square root
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-22
    • 文件大小:1237
    • 提供者:lei
  1. square_root

    0下载:
  2. 单片机开平方的快速算法 比牛顿迭代法更加快速-Single-chip square root of the fast algorithm for more than Newton iteration Express
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1526
    • 提供者:谭仲书
  1. HDL_design

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:663138
    • 提供者:Ning Zheng
  1. sqrt

    0下载:
  2. 平方根算法的硬件描述语言,算法运行速度快,10位二进制数的开方只需要10个时钟周期-Square root algorithm for hardware descr iption language, the algorithm is fast, 10-bit binary number square root only 10 clock cycles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2034
    • 提供者:Olive Green
  1. pre_norm_sqrt

    0下载:
  2. 一种用VHDL语言描述的浮点平方根前规格化的源代码编程-VHDL language used to describe a floating-point square root of the source code before the standardized programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1833
    • 提供者:zhshup
  1. sq-rt

    0下载:
  2. ARM assembly, code warrior, routine for calculating square root of an input number
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-13
    • 文件大小:3210
    • 提供者:ak
  1. sqrt

    0下载:
  2. This zip file contains the verilog source code for square root calculation and its test bench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1993
    • 提供者:Jaganathan
  1. square-root

    0下载:
  2. Verilog硬件描述语言能够用软件语言的的方式描述硬件特性,并可用仿真方式完成电路的调试.本文介绍了基于EasyFPGA030的开平方运算器的设计,详细说明了运用verilog语言的设计过程与实现成果。-Verilog hardware descr iption language(HDL)specializes in describing hardware in the way of software language, and complete circuit simulation avai
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:904723
    • 提供者:stella
  1. sqrt_for_single_float_point

    0下载:
  2. 用verilog实现了基于中值定理求解单精度浮点开方的功能,希望对大家学习有所帮助-With verilog implemented based on the mean value theorem to solve single-precision floating point square root function, we want to study and help ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4763
    • 提供者:楚艳超
  1. A-VHDL-Function-for-finding-SQUARE-ROOT

    0下载:
  2. vhdl coding for square root-vhdl coding for square root...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:3204
    • 提供者:a.deivaseelan
  1. Square-Root-Raised-Cosine-Filter

    0下载:
  2. 根升余弦基带成形滤波器的设计及其DSP实现.最后利用系数对称特性,在某软件无线电电台系统的DSP 芯片中编程, 实现均方根升余弦滤波器的成形滤波算法-First this essay introduces baseband shaped filter theory and requirements of an SDR system on shaped filtering. And, the author introduces various realization methods
  3. 所属分类:DSP program

    • 发布日期:2017-03-22
    • 文件大小:118224
    • 提供者:程文翔
  1. a-square-root-algorithm

    0下载:
  2. 介绍一种高速高精度的开方算法它适用于最一般开方运算形式汉, 算法将数据映射 至(0,1000)区间, 进而将该区间进行非均匀分段, 并用查表与线性插值相结合的方法, 既实现了高速、高精度开方运算, 又使数据表格占用较少的存贮单元这种算法还很容易推广应用于其他幂函数计算-Introduced a high-speed high-precision square root algorithm, it applies to the Han Dynasty, the most general for
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-09
    • 文件大小:2412771
    • 提供者:sunshine
  1. 169-square-root-

    0下载:
  2. 用430单品机做得一个整数开方实验,经过测试大家-use 430mcu An integer square root experiment
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:16887
    • 提供者:liyubo
  1. 33-square-root

    0下载:
  2. 使用VHDL语言实现33位平方根进位选择加法器,能满足在500M时钟下正确工作,使用DB测试,并通过前仿。-Using VHDL language 33 square root carry select adder, to meet in the 500M clock work correctly, use the DB test, and through imitation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:13568
    • 提供者:王力
  1. square-root

    0下载:
  2. simulink/matlab 实现求实数平方根-using simulink to calulate the sequare root of the integer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:29851
    • 提供者:张宇航
  1. Square-Root

    0下载:
  2. Square Root code in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1011
    • 提供者:abeymohammed
« 12 3 4 »
搜珍网 www.dssz.com