CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - VHDL DAC

搜索资源列表

  1. sin

    0下载:
  2. sin產生器,可以於VHDL產生sin之數值波形,進而輸出至dac做轉換
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1084368
    • 提供者:lin
  1. fpdpsk

    0下载:
  2. FSK/PSK信号调制器的VHDL程序,共分为分频器、m序列产生器、跳变检测、2:1数据选择器、正弦波信号产生器和DAC(数、模变换器)6部分-FSK/PSK signal modulator VHDL program is divided into divider, m sequence generator, transition detection, 2:1 data selector, the sine wave signal generator and DAC (number, mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1618
    • 提供者:hucy
  1. adc0809

    0下载:
  2. VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138625
    • 提供者:喻炜
  1. Figure_Models

    0下载:
  2. 用VHDL设计的基本数字逻辑电路,能实现交通灯、模数转换、数模转换等功能-VHDL design using the basic digital logic circuits, to achieve traffic light, ADC, DAC and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:46097
    • 提供者:zhuzhu
  1. Conversor_DAC

    0下载:
  2. conversor DAc SPTARTAN-3AN descrito en vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:936
    • 提供者:nyko
  1. ad5348controller

    1下载:
  2. TI公司生产的8通道12位的高速DAC,AD5348,用VHDL状态机法控制-TI company' s 8-channel 12-bit high speed DAC, AD5348, used for controlling a state machine VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-17
    • 文件大小:415973
    • 提供者:jeffery
  1. spi_dac

    0下载:
  2. driver for spi DAC in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:767
    • 提供者:Hung
  1. sjbo

    0下载:
  2. 利用DAC,通过分频等程序,利用VHDL语言编写的三角波,-By DAC, frequency and other procedures through the use of VHDL language in the triangle wave,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:165408
    • 提供者:董会云
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. DAC0832-VHDL-design

    0下载:
  2. DAC0832接口的VHDL设计实现。利用硬件描述语言在FPGA上实现DAC功能。-DAC0832 interface VHDL design and implementation. Using hardware descr iption language DAC function in the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3175
    • 提供者:元泽怀
  1. FourDACOscillator

    0下载:
  2. dac implementation using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:62887
    • 提供者:swaroop
  1. A-FPGA-Based-Delta-Sigma-DAC

    0下载:
  2. 用FPGA实现AD转换的代码,使用VHDL语言编写-A FPGA Based Delta-Sigma DAC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:58971
    • 提供者:wang
  1. 2012-05-27-ADC-Light-Sensor-Avago-APDS-9005-020.z

    0下载:
  2. ADC Light Sensor Avago APDS-9005-020 VHDL solution running on a Xilinx Spartan 6: Reading out light intensity from an Avago APDS-9005-020 using an average DAC and two additional digital control lines.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1355208
    • 提供者:Abel Tazzman
  1. DACtest

    0下载:
  2. Spartan 3E - DAC- VHDL. It is a vhdl code for Xilinx Spartan 3E fpga to run ADC and AMP on the board via SPI interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:157838
    • 提供者:psycho374
  1. 16-bit-A-DCa16-bit-DAC-VHDL

    0下载:
  2. 16-bit Analogue to Digital Converter&16-bit Digital to Analogue Converter VHDL source code.在modelsim下仿真通过-16-bit Analogue to Digital Converter & 16-bit Digital to Analogue Converter VHDL source code. Simulated in modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:883
    • 提供者:fangshan
  1. FDK

    0下载:
  2. LCD1602控制VHDL代码,带有ADC和DAC采样,以及原理图和PCB版图供参考-LCD1602 control VHDL code, with ADC and DAC sampling, as well as schematic and PCB layout for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1659326
    • 提供者:霍赞
  1. sine-wave

    0下载:
  2. spartan-3an sine wave 波形通过dac显示 可改变sweep rate -spartan-3an sine wave based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:1184330
    • 提供者:BilleJoe
  1. SPI-Master-Core-DAC-ADC-spartan

    1下载:
  2. SPI Master Core for spartan (ADC, DAC) vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1962350
    • 提供者:onur
  1. ADS828-DAC902-VHDL

    0下载:
  2. ADS828 DAC的VHDL程序 绝对-ADS828 DAC program based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:318783
    • 提供者:kobe
  1. A4_Oscilloscope_Top

    1下载:
  2. 使用DE0开发板和ADC,DAC实现简易频谱仪(Using DE0 Development Board and ADC, DAC to Realize Simple Spectrometer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-13
    • 文件大小:57503744
    • 提供者:尾闪丁二皇
« 1 23 »
搜珍网 www.dssz.com