CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Verilog T

搜索资源列表

  1. rs_decoder_31_19_6.tar

    1下载:
  2. Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-22
    • 文件大小:14247
    • 提供者:孟轲敏
  1. TFT.rar

    0下载:
  2. 基于FPGA的实验。使用FPGA直接控制TFT彩屏,达到显示彩条的效果。使用FPGA连接TFT控制器,使显示一组汉字或一幅图像。 ,FPGA-based experiment. FPGA to directly control the use of TFT color display to show the effect of color. TFT controller using FPGA connected to a group of Chinese characters displaye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-07
    • 文件大小:750
    • 提供者:贺欧
  1. ethernet.tar

    0下载:
  2. 以太网的vhdl和verilog代码,供大家学习-Ethernet VHDL and Verilog code for everyone to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:934781
    • 提供者:sunlee
  1. TFTDriverNew_V2

    0下载:
  2. TFT液晶屏驱动模块Verilog源码。实现方法:XC95288+K6R4008,K6R4008主要用作帧缓冲区,此模块仅支持256色-TFT LCD driver module Verilog source code. Realization: XC95288+ K6R4008, K6R4008 mainly used as a frame buffer, this module only supports 256 colors
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3034
    • 提供者:zhangming
  1. cf_fft_1024_8

    0下载:
  2. 这是用verilog语言实现的1024点ff程序t-This is achieved using Verilog 1024 language ff procedures point t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:11841
    • 提供者:刘鹏
  1. test_uart

    0下载:
  2. uart VHDL code : include tx,rx,parity bit control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13077
    • 提供者:byungchan
  1. T_light

    0下载:
  2. A verilog HDL program to simulate a traffic light condition at a T-junction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:802
    • 提供者:wildchild4u
  1. ldpc_encoder_802_3an_latest.tar

    0下载:
  2. 适用于10GBase-T的以太网(802.3an协议)LDPC, VERILOG语言编写,可以应用在LATTICEXP2系列芯片上,基于Gallager算法。-LDPC encoder for 10GBase-T Ethernet (802.3an), based on Gallager s A algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:620127
    • 提供者:liang
  1. digital_frequency

    0下载:
  2. 用verilog实现数字频率计的设计,具有自动换挡功能,采用t法和m法设计,低频显示周期。量程为0.5~10Mhz。开发环境为quartus-This is a method of designing a digital frequency-measuring device. It can measure frequency ranging from 0.5Hz to 10MHz. It is developed in the program of Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:492879
    • 提供者:孙岩
  1. reference

    0下载:
  2. 自己做IC课程设计的成果,用Verilog语言进行编写的。 主要是基于IEEE802.3的交织和解交织。中间可能有在解交织的时候,信号有一些移位,最初编写的时候自己没有发现,注意用的时候改正下。 还有是一些的实际项目中的代码,很具有参考价值-These are our IC design curriculum outcome, written with Verilog language. It is mainly about the interleave and deinterle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:668099
    • 提供者:gy
  1. MIPS1CYCLE

    0下载:
  2. MIPS single-cycle processor design in verilog.Instruction memory to the design and initialise it with your assembly code-a. Load the data stored in the X and Y locations of the data memory into the X and Y registers. b. Add the X and Y registers an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2162
    • 提供者:chenghao wei
  1. mtspeed

    2下载:
  2. m法t法编码器测速 verilog语言 m法采样时间可调 t法间隔周期可调-m method t method m encoder velocity verilog language law law sampling time interval period adjustable adjustable t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:894
    • 提供者:王程序
  1. 86verilog

    0下载:
  2. 以FPGA 芯片为平台构建了数字信号滤波实时处理模块, 给出了 50Hz 陷波器的切比雪夫Ê 型 IIR 数字 滤波器 4 阶级联的结构, 提出了对滤波器系数量化的逼近方法, 完成了基于 FPGA 的陷波器实现, 并成功地实现了 对含有工频 50Hz 噪声干扰的心电信号的滤波处理, 通过与M at lab 计算所得到的滤波处理效果进行比较分析, 结 果表明: 基于FPGA 采用切比雪夫Ê 型 4 级级联结构的 IIR 数字滤波器的误差满足设计要求- W ith t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15742
    • 提供者:任伟
  1. part1

    0下载:
  2. a 4-bit synchronous counter using T-Flip Flops and AND gates in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:140753
    • 提供者:Henna Tan
  1. Verilog

    0下载:
  2. 七段数码管译码器.(Verilog)[FPGA]第一个Verilog程序,七段共阴数码管摸索了好几天,终于能完成敲入代码、综合、仿真、绑定引脚至下载的全套工作了 -. 七段数码管的lookup table module SEG7_LUT ( input [3:0] iDIG, output reg [6:0] oSEG ) always@(iDIG) begin case(iDIG) 4 h1: oSEG = 7 b1111
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:685
    • 提供者:王林林
  1. keyscanverilogCX

    0下载:
  2. 这里有完整的verilog按键消抖程序(经过验证的),有图有真相,本程序是依据特权老师的程序自行改编的,由于按键消抖仿真时间较长,这里是假定16个时钟周期便于仿真。内有详细说明!我在网络上目前只能查找到程序,却找不到仿真程序和解说配套的资料,本文件彻底填补了这一空缺,对于初学者很有帮助!-Here are complete verilog keys away shaking program (proven), picture is truth, this program is based on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8392970
    • 提供者:张明辉
  1. ds

    1下载:
  2. Verilog语言,实现移相,输入方波TA,输出移相后T-Phase shifted square wave TA, the phase-shifted output TAA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:524
    • 提供者:李伯
  1. src

    0下载:
  2. 实现VERILOG音乐播放器功能,但是不能快进,能显示其歌词。 希望有帮助-Realize the VERILOG music player functions, but can t fast forward, to show its lyrics. Hope to have help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:21419
    • 提供者:jenny
  1. huxideng

    0下载:
  2. 呼吸灯的verilog程序,打开可用,记得改板子的型号(you could use the demo ,and don't forget to change the equipment)
  3. 所属分类:单片机开发

搜珍网 www.dssz.com