CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - access D

搜索资源列表

  1. ds1624cprogram

    0下载:
  2. 由于DS1624是I2C总线结构的串行数据传送,它只需要SDA和SCL两根线完成数据的传送过程。因此,我们在进行程序设计的时候,也得按着I2C协议来对DS1624芯片数据访问。有关I2C协议参看有关资料,这里不详述。对于AT89S51单片机本身没有I2C硬件资源,所以必须用软件来模拟I2C协议过程。 要从DS1624中读取温度值,首先启动DS1624的内部温度A/D开始转换,对应着有相应的命令用来启动开始温度转换,有关DS1624的指令集参考前面的叙述。一般情况下,DS1624经过一次温度
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1702
    • 提供者:花花
  1. s3c44b0chumoping

    0下载:
  2. 当手指或笔触摸屏幕时,平常相互绝缘的两层导电层就在触摸 点位置有了一个接触,因其中一面导电层接通X轴方向的5V均匀电压场(图a) ,使得检测层的电压由零变为非零,控制器侦测到这个接通后,进行A/D转换 ,并将得到的电压值与5V相比即可得触摸点的X轴坐标为(原点在靠近接地点 的那端):Xi=Lx*Vi / V(即分压原理)同理得出Y轴的坐标,这就是所有电 阻技术触摸屏共同的最基本原理。-finger or pen to touch the screen, usually two
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:64547
    • 提供者:简其平
  1. w03

    0下载:
  2. 用变压器+整流桥+滤波器+稳压器构成5v的直流电源,以此作为D/A转换器(DAC0832)的基准电压,用AT89C51+8155+键盘+LED的单片机小系统控制进入DAC0832的数字量达到数控的目的 -transformer rectifier bridge filter Regulators constitute 5v DC power supply, as D / A converters (DAC0832) voltage, AT89C51 8155 with the keyboard
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4789
    • 提供者:万红军
  1. AD7705(C51)

    1下载:
  2. 1,初始化: 如果AD7705复位引脚直连VCC,最好在初始化程序中加入初始化序列,不然ready信号不会输出。 2,输入范围 如果AD7705采集单端信号,则输入必须在0到VDD之间,而不可以超出或为负,如果一个输入为负,则另一个输入会有灌入电流的现象,芯片无法正常运行。-AD7705 is a complete 16-bit A ö D converter. Within Structure in Figure 1. If the external crysta
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2013-09-09
    • 文件大小:35076
    • 提供者:
  1. AD1

    0下载:
  2. 中断方式的A/D采集系统使用ADC0809的通道0,接入0-5V的直流电压,用W1调整模拟电压值,A/D的转换结束信号EOC接在8259A的IRQ3上,采集100个数据并存入内存中,同时将采集的16进制数据显示在数码管上。请多次调整0-5V的电压值(旋动W1旋钮),进行A/D采集,并观测内存中的数据的变化情况。-Interrupt the A/D acquisition system using ADC0809 channel 0, access to 0-5V DC voltage, usin
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:1090
    • 提供者:alia
  1. uart_v

    0下载:
  2. 单片机A/D接电位器,串行口接PC机,单片机每隔1秒将采集的电压经串行口送给PC机。-Single Chip A/D then potentiometers, serial port access PC, SCM will be collected at intervals of 1 second, the voltage through the serial port to give PC.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1007
    • 提供者:高涵
  1. srandDflipflop

    0下载:
  2. this project is based on sr and d flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year project for e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:205368
    • 提供者:jatab
  1. MCUinterface

    0下载:
  2. 单片机与键盘接, 单片机与显示器接, D/A转换器接, A/D转换器接-SCM and the keyboard then, microcontroller and display access, D/A converter access, A/D converter then
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-04
    • 文件大小:1464663
    • 提供者:Richard
  1. term

    0下载:
  2. 1 拨号功能,自动响应功能。(能使用ATDT命令拨号,使用Ctrl-D退出联机状态)。 2 执行调制解调器命令。 3 仿真终端功能:在线方式可进行联机通信,TTY终端仿真,加载驱动程序后可进行VT100终端仿真。 4 文件服务功能: 文本文件的传输,二进制文件的传输,文本文件和二进制文件的写盘功能。文本文件的阅览和简单编辑功能。 5 终端服务功能: UNIX主机上运行的具有菜单驱动的终端服务程序,客户机可通过终端仿真器访问远程机(Remote machin
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:20296
    • 提供者:xiehzihua
  1. qiuchangjifen

    0下载:
  2. 该记分器可实现球场比赛双方积分指示,设计者完成记分器的硬件和软件设计。 任务及要求: 1、发光二极管点阵显示比分 2、1000米远程设置比分 设计内容: 1、分析设计要求,明确性能指标;查阅资料、设计方案分析对比。 2、论证并确定合理的总体设计方案,绘制总体结构框图,分析工作原理。 3、完成各单元具体电路的设计:单片机最小系统、A/D转换、显示等电路。包括元器件选择、工作原理分析。 4、写出程序流程图及汇编源程序。 5、完成课程设计说明书。 -T
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:112592
    • 提供者:庆庆
  1. jiaotongdeng

    1下载:
  2. P1.0—P1.2分别为南北方向的红,黄,绿灯; P1.3——P1.5为东西方向的红,黄,绿灯;共阴极数码管的a,b,c,d,e,f,g,dp分别接P0.0-P0.7;数码管V0-V2分别接P2.0——P2.2(V0为百位,V1为10位,V2为个位);南北为主干道,能行时间180秒,黄灯亮3秒,东西为乡村道,通行时间为60秒,黄灯亮3秒;采用T0为中断源,扫描显示数码管,T1为定时器,每次定时0.5秒,两次计数1秒。 -P1.0-P1.2 north-south direction, re
  3. 所属分类:Other Embeded program

    • 发布日期:2014-04-21
    • 文件大小:5120
    • 提供者:萨拉
  1. DSP_DMA_McBSP

    0下载:
  2. 摘 要:本文介绍了采用双声道音频A/D转换芯片CS5331A和TMS320VC5402 DSP组成的双声道音频采集系统 的设计。给出了系统的硬件设计方案,包括CS5331A与TMD320VC5402 DSP的接口电路和信号时序。在硬件方案 的基础上,给出了系统的总体软件流程,并详细介绍了DSP的多通道缓冲串行口(McBSP)和直接存储器访问(DMA) 的初始化设置,给出了相应例程。最后,给出了采集到DSP内存中的双声道音频数据的波形。 关键词:采集 DMA McBSP DSP
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:187987
    • 提供者:ws
  1. F2812_EXFlash

    0下载:
  2. F2812扩展外部Flash,实现读写数据。(CCS3.3开发环境下) 功 能:访问外部Flash空间,与外部Flash可以实现读写数据 说 明:本实验是和外部RAM实验连起来的,先给外部RAM进行写数据操作,然后再把RAM里的数据写到外部FLASH中。本实验中,请在BlockErase(0)这一行设置断点,当运行至断点时,点击view,然后点击memory来查看存储空间,Address填写0x00080000,点击ok,就能看到从0x00080000开始,各个存储单元的值从0开始递增。-F
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:89242
    • 提供者:奋斗不止
  1. LPC1700_secondary_USB_bootloader

    0下载:
  2. PC1700 USB Bootloader Hardware configuration/requirements: - Ensure that the jumpers for the USB s D+ and D- set to "DEVICE". - A USB cable "Type B" to power the board and to access the USB bootloader.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:72952
    • 提供者:sdk
  1. qi-duan-yi-ma-qi

    0下载:
  2. 七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。接有高电平段发亮,于
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3147
    • 提供者:xuling
  1. danpianji

    0下载:
  2. 单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域的广泛应用-The microcontroller is an integrated circuit chip, ultra-large-scale integrated circuit t
  3. 所属分类:SCM

    • 发布日期:2017-11-25
    • 文件大小:4951019
    • 提供者:熊高
  1. TI-MSC121x

    0下载:
  2. The AD4INPUT program reads the four input pairs AIN0-AIN1, AIN2-AIN3, AIN4-IN5, AIN6-AIN7 of the MSC121x and outputs the values along with other A/D relevant information via the serial interface. This example shows a simple method to con
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:143435
    • 提供者:彭琰举
  1. Ex3_2

    0下载:
  2. TMS320C54x片内的多总线结构,允许在一个机器周期内通过两个16位数据总线(C总线和D总线)寻址两个数据和系数。双操作数指令是用间接寻址方式获得操作数的,并且只能用AR2到AR5的辅助寄存器。双操作数指令占用较少的程序空间,而获得更快的运行速度。-TMS320C54x Chip multi-bus architecture, which allows a single machine cycle through two 16-bit data bus (C bus and D bus) a
  3. 所属分类:DSP program

    • 发布日期:2017-04-09
    • 文件大小:4056
    • 提供者:laozhao
  1. 4X4X4-V3.0

    0下载:
  2. 4X4X4光立方,通过一个STC12C5A60控制64个LED实现3D动画显示效果,按键切换动画。(接入音频LED随音乐而跳动)-4x4x4 light cube, controlled by a STC12C5A60 64 LED to realize 3 d animation display effect, button switch animation.(access audio LED with music and beating)
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:47589
    • 提供者:潘攀
  1. F31x_ADC0_ExternalInput

    0下载:
  2. A/D转换p1.1口接入的话筒信号,输出话筒信号强度。-A/D converter microphone signal, the output signal strength p1.1 microphone port access.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3395
    • 提供者:忽视了
« 12 »
搜珍网 www.dssz.com