CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bounce

搜索资源列表

  1. keydebounce.rar

    0下载:
  2. FPGA中按键弹跳消除模块的研究与应用,原理和例子都非常好,FPGA to eliminate bounce in key research and application modules, principles and examples are very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230264
    • 提供者:mcuxxq
  1. HomeWork

    0下载:
  2. 利用89s51去寫結構化keil-C 4x4鍵盤掃描+LCD螢幕顯示 HW01:四則運算+時鍾顯示 HW02:頻率偵測器 ps.鍵盤掃描不是利用延遲作彈跳(推薦)-89s51 use to write structured keil-C, 4x4 keypad+ LCD display Scan. HW01: operator+ 4 clock display. HW02: frequency detector. Ps. Instead of using the
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:76330
    • 提供者:raven
  1. ad574-oled-jianpan-nuoweixin

    0下载:
  2. 一款AD574设置控制程序;两款OLED设置控制程序;一款串口设置控制程序;一款IO口带弹起功能的键盘控制程序;-A AD574 settings control procedures two OLED set control procedures a serial port settings control procedures an IO port with bounce function keyboard control procedures
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:4462
    • 提供者:xj
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. jidianqi

    0下载:
  2. 利用P0口控制单片机上的继电器吸合或弹开-P0 port single-chip microcomputer to control the use of the relay pull-in or bounce
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:2830
    • 提供者:marry
  1. all

    0下载:
  2. 利用VHDL程式達到上數9999 並且有遮沒+防彈跳功能,是個很好又實際的程式。-Reached on the use of VHDL program and the number of 9999 did not cover+ anti-bounce function is a very good and practical programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:508618
    • 提供者:黃嘉偉
  1. key

    0下载:
  2. Verilog HDL编写的键盘扫描程序,考虑了判断按键弹起的问题。程序按一定的频率用低电平循环扫描行线,同时检测列线的状态,一旦判断有一列为低则表示有键被按下,停止扫描并保持当前行线的状态,再读取列线的状态从而得到当前按键的键码;等待按键弹起:检测到各列线都变成高点平后,重新开始扫描过程,等待下一次按键。-Written in Verilog HDL keyboard scanner, taking into account to determine key bounce problem. P
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2201609
    • 提供者:力文
  1. leijia

    0下载:
  2. 二进制累加器:实现多个二进制数累加,有复位,按键防反弹等功能(每按一次作一次累加,累加数由若干位开关表示,结果由若干位LED输出。-Binary accumulator: accumulation of multiple binary number, there are reset, key features such as anti-bounce (each time I press to make a cumulative, accumulate a number by a number o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:390054
    • 提供者:dujcel
  1. ElectronicLocks

    2下载:
  2. 电子密码锁为三位密码,由输入部分,控制部分和输出部分组成。其中输入部分包括4×4矩阵键盘、弹跳消除电路、键盘扫描电路、键盘译码电路;控制部分包括按键存储电路、密码修改电路、比较电路;输出部分主要是七段译码显示器。当输入三位正确密码时,一个L1发光二极管亮,指示门打开;当输入密码错误时,另外一个发光二极管亮,此时可以通过开锁开关(复位开关)重新输入密码。若想实现密码更改,可重新按下上锁开关设置密码,即实现密码更改功能。-Electronic locks on the three passwords
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-03-24
    • 文件大小:41893
    • 提供者:sunnan
  1. bounce

    0下载:
  2. source code for virtual cogs products, vcmx212 sbc, vc21pc1
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:215164
    • 提供者:bear
  1. Ex10

    0下载:
  2. 程序功能: 开机后,按一下S1,系统进入模式1;按一下S2,系统进入模式2;按一下S3,系统进入模式3。 在模式1下,S5按下LED1亮,弹起LED1灭; 在模式2下,S5按下LED2亮,弹起LED2灭; 在模式3下,S5按下LED3亮,弹起LED3灭; 学习重点: 1、程序的执行的顺序 2、switch..case..的用法 3、程序原模块化 4、全局变量的使用 -Program features: power, click S1, the system
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1020
    • 提供者:kane
  1. key1

    0下载:
  2. 矩阵键盘实验1:向用户介绍矩阵键盘扫描实现的方法,没有考虑去抖和判断键弹起的问题;把相应的键值显示在数码管上-Matrix Keyboard Lab 1: Introduction to the user to achieve the keyboard scan matrix approach, not considered to shake and bounce to determine key issues the corresponding keys on the display in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:827
    • 提供者:riversky
  1. keypadinterfacecontroller

    0下载:
  2. 设计并实现一个4X8键盘接口控制器,含有时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路。要求:当按下某一键时,在数码管上显示该键对应的键值-Design and implement a 4X8 keypad interface controller, with timing generator circuit, the keyboard scanning circuit, bounce elimination circuit, the keyboard deco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6178
    • 提供者:zhuimeng
  1. max6675

    0下载:
  2. For max6675 bounce for CVAVR project. A better way to describe the signal with having the SPDR =0 is that it causes the output to bounce. I took it out. -For max6675 bounce for CVAVR project. A better way to describe the signal with having the
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:1054
    • 提供者:krysun
  1. digital-lock

    0下载:
  2. 电子密码锁 功能如下: l、按键接口的设计 包括: 1)键盘扫描电路 2)弹跳消除电路 3)键盘译码电路 4)按键存储电路 2、密码锁的控制电路设计 包括: 1)按键的数字输入、存储及清除 2)功能按键的功能设计 3)移位寄存器的设计与控制 4)密码清除、变更、存储、激活电锁电路 5)密码核对、解除电锁电路 3、输出七段显示电路的设计 包括: 1)数据选择电路 2)BCD对七段显示译码电路 3)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:129774
    • 提供者:ldong1989
  1. Debunce

    0下载:
  2. VHDL 弹跳消除电路,对于FPGA按键很需要-VHDL bounce elimination circuit, it is necessary for the FPGA button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:632
    • 提供者:yrpk
  1. fpga-5

    0下载:
  2. Design a “Bouncing Picture” which can bounce on the border of the monitor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1201
    • 提供者:xiao
  1. AT89C2051

    1下载:
  2. 本程序是一个超生测距仪的c语言文件,可以直接使用,通过发送接收超声波-This program is a bounce rangefinder c language file can be used directly, by sending and receiving ultrasonic
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1024
    • 提供者:张志强
  1. shuangsedianzhen

    0下载:
  2. 51单片机双色点阵的显示程序,模拟电视屏幕上图形碰壁弹回-51 single-chip two-color dot matrix display program, analog TV screen graphics rebuffed bounce
  3. 所属分类:SCM

    • 发布日期:2017-12-03
    • 文件大小:1327
    • 提供者:田青永
  1. Bounce

    0下载:
  2. a edk II shell application Bounce
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-27
    • 文件大小:13884
    • 提供者:hy chen
« 12 »
搜珍网 www.dssz.com