CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - cargo

搜索资源列表

  1. zhi-neng-xiao-che

    0下载:
  2. 智能搬运小车的功能介绍: 智能搬运小车希望能够希望得到可以自动抓取货物,循迹行进,自动卸货物的功能。 -Intelligent handling car Features: Intelligent transport car hope to want to automatically grab the goods, the road tracking, automatic unloading cargo capabilities.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:843482
    • 提供者:LINKY
  1. Vending_machine_simulation

    0下载:
  2. 自动售货机VHDL程序与仿真,功能包括货物信息存储,进程控制,硬币处理,余额计算,显示等功能-Vending machine simulation of VHDL procedures and functions, including cargo information storage, process control, coin handling, balance calculation, display and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:143401
    • 提供者:葛棋棋
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. VendingmachinesimulationwithVHDL

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 说明:显示的钱数coin的 以5角为单位。 -Vending machine simulation of VHDL procedures and functions: cargo information storage, process control, coin handling, balance calculation, display and other functions. Desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:146861
    • 提供者:Zhu
  1. Vending_machine

    0下载:
  2. 设计一台自动售货机,要求具备货物信息存储、进程控制、硬币处理、余额计算、自动找零、状态显示等功能。-Design a vending machine, requires cargo information storage, process control, coin handling, balance calculation, auto-change, the status display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:314820
    • 提供者:刘智虎
  1. PL_auto1

    0下载:
  2. 自动售饮料控制器 (1) 该系统能完成货物信息存储、进程控制、硬币纸币处理、余额计算和显示等功能; (2) 该系统可以销售20种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用1元和5角的硬币以及20元、10元、5元、1元纸币进行购物,按键进行选择。 (3) 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 (4) 系统自动计算出应找钱币余额和库存数量并显示。 -Beverage vending contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1484
    • 提供者:吴刚
  1. pl_autoVHDL

    0下载:
  2. 自动售货机VHDL。具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine VHDL. With the cargo information storage, process control, coin handling, balance calculation, display and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:143397
    • 提供者:liulu
  1. Veevestem

    0下载:
  2. 自动售货机掉货检测系统的开发Vending machines out of the development of cargo inspection system-Vending machines out of the development of cargo inspection system
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:256395
    • 提供者:abe
  1. autocounter

    0下载:
  2. 使用SPCE061A的生产线货物自动计数系统 本装置将实现生产线货物的自动计数,具体要求如下: 1. 货物为方形,不透光,体积不大于1立方分米,货物在传送带上走速不超过0.5m/s; 2. 对生产线上货物自动计数,并实时显示; 3. 显示的最大值是“9999”,达最大值时进行语音提示,之后再来货物,则显示“1”; 4. 可以用按键清除计数情况; 5. 掉电后已经计的个数不能丢失; 6. 掉电后重新上电能够继续计数; 可以预设计数个数,当达到预设个数时能够作出相应提
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:133563
    • 提供者:李蕾
  1. Master_MCU

    0下载:
  2. 自动售货机的触摸屏控制与货道的控制MST776的-Vending machines with touch-screen control of the control MST776 of Cargo Road
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:229215
    • 提供者:jhliu
  1. sell

    0下载:
  2. 自动售货机 系统能自动销售货物,自动完成对货物信息的存储、进程控制、硬币处理、余额计算和显示等功能。 自动售货机可以管理4种货物,每种的数量和单价在初始化时输入,在存储器中存储;用户可以用硬币进行购物,利用按键进行选择;售货时能够根据用户投入的硬币,判断钱币是否够,钱币足够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找钱币余额、库存量。 -Vending machine system can automatically sale of goods, auto-c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1143
    • 提供者:陳秋
  1. zidongbanyun

    0下载:
  2. 智能搬运小车 程序齐全,可以自动抓取货物,循迹行进,自动卸货物的功能。-Smart handling car program is complete, you can automatically grab cargo road tracking, automatic unloading cargo.
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:1565
    • 提供者:刘浩
  1. autosale

    0下载:
  2. 自动售货机,货物信息存储,进程控制,硬币处理,余额计算,显示等功能 --说明:显示的钱数coin的值以5角为单位 -Vending machines, the cargo information storage, process control, coin handling, balance calculation, display and other functions- Descr iption: display the amount of money the value of th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:13553
    • 提供者:沈攀
  1. Warehouse

    0下载:
  2. DT-930 日本的服装仓库用的,盘点,调货和批发的盘点程序 (使用自己的IR COM协议),支持内部条码和JAN code.-DT-930 used Japanese clothing warehouse, inventory, transfer cargo and wholesale inventory program (using its own IR COM protocol), support the internal bar code and JAN code.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:17303
    • 提供者:ICE631
  1. zidongshouhuoji

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。-Design a vending machine control system. The system is able to compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2092
    • 提供者:武文
  1. a

    0下载:
  2. 单片机自动收货机仿真及源代码,可以实现投币五角和一元,购买五角,一元,一元五角饮料。通过数码管显示钱数,led指示可以购买的饮料。-Single chip microcomputer automatic cargo aircraft simulation and source code
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:67799
    • 提供者:cbw
  1. SEED-DIM28035_GPIO_demo

    1下载:
  2. 我买的SEED-DIM28035开发板,光盘的源码,所有的GPIO外设的源码,包括一个demo,有干货。-SEED-DIM28035 development board I bought, CD-ROM source code, all the peripherals of the GPIO source code, including a demo, a dry cargo
  3. 所属分类:DSP program

    • 发布日期:2017-05-13
    • 文件大小:2889315
    • 提供者:sunsongbin
  1. www

    1下载:
  2. 基于s5pc100-A8板的智能仓储物联系统,1. M0作为前端信息采集器,通过温湿度、光感等模块实时采集节点所在仓库的环境数据,通过RFID射频刷卡模拟货物出入库,将采集到的数据和货物存储信息通过ZIGBEE无线传输给A8主控板进行数据分析。 2. A8是整个系统的逻辑处理核心,采用多线程架构,线程间使用全局变量、互斥锁、条件变量实现线程同步、互斥、唤醒等动作;分析M0发送来的数据,唤醒相应线程进行短信报警、摄像头抓拍、蜂鸣器、LED灯光报警、出入库等动作,并将数据存入数据库中; 3.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-14
    • 文件大小:3402822
    • 提供者:zhb
  1. V587

    0下载:
  2. 本设计实现智能货运系统控制,主要用于教育机器人教学,曾在智能竞赛中获得一等奖,可以使用WiFi远程遥控-The design and implementation of intelligent cargo systems control, mainly used for educational robot teaching, won first prize in a smart race, you can use the WiFi remote control
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:59820
    • 提供者:唐文毅
  1. new_project

    0下载:
  2. 本设计是一种基于FPGA的自动售货机控制系统设计。该设计采用FPGA作为主控,设计自动售货机控制系统。模拟实现自动售货机的货物信息存储、货物的选择与购买、金额收取、余额计算、自动找零、状态显示等功能。 采用ALTERA芯片,QUARRTUS II9.1软件,vhdl描述语言进行设计,并通过modelsim进行仿真,最终验证表明,采用FPGA设计,可以更高效,更稳定,更便捷的实现自动售货机功-This design is a vending machine control system des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4067714
    • 提供者:张任
« 12 »
搜珍网 www.dssz.com