CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - costas

搜索资源列表

  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. 1

    1下载:
  2. 基于matlab和QuartusII开发的无线通信FPGA设计,内有(matlab代码,Verilog代码,缩略语表.doc)注释详细,代码数十个,总有一个是你喜欢的!-Matlab and QuartusII based on the development of wireless communications FPGA design, there are (matlab code, Verilog code abbreviations. Doc) Notes detail dozens of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3223763
    • 提供者:南才北往
  1. costas_loop

    2下载:
  2. 集中式插入式帧同步发的verilog源代码-concentrative inserted frame sync
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:5081
    • 提供者:白健
  1. costas

    1下载:
  2. 载波同步,costas环,基于Verilog的载波同步环-Carrier synchronization, costas ring, based on Verilog carrier synchronization ring
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5189
    • 提供者:洪依
  1. cotas

    0下载:
  2. Costas环是用来解调双边带抑制载波信号的,也是二相或四相移相键控信号解调的专用环路-Costas loop is used to double sideband suppressed carrier signal demodulation, and also two-phase or four phase shift keying signal demodulation of the special loop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2998
    • 提供者:陈华
  1. CostasLoop

    1下载:
  2. costas loop in vhdl -costas loop in vhdl ...
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:84908
    • 提供者:CC83
  1. COSTAS_loop_implement

    1下载:
  2. 包含COSTAS环的实现原理和具体实现方案,并附有源代码工程-it contains the principle and the concrete realization of COSTAS loop , with source code project
  3. 所属分类:DSP编程

    • 发布日期:2014-03-16
    • 文件大小:264031
    • 提供者:hejie
  1. spll_simplest_IQ

    2下载:
  2. 利用科斯塔斯环实现软件锁相环,完成信号相位的跟踪-Costas loop using software PLL to achieve complete phase tracking signal
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2014-03-16
    • 文件大小:2487
    • 提供者:孟令鹏
  1. Costas

    1下载:
  2. 介绍了某直接序列扩频、QPSK调制系统接收通道中四相Costas 载波跟踪环的原理及其基于 DSP+FPGA 的实现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:562345
    • 提供者:fy
  1. costasc_verilog

    1下载:
  2. 实现costas环,用verilog语言实现,缺少乘法器,可以自己添加-Realization of Costas ring, with the Verilog language implementation, the lack of multiplier, you can add their own.
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:1874
    • 提供者:liuweiliang
  1. COSTAS_LOOP

    1下载:
  2. 使用ISE12.1编写的Costas环,用于载波恢复,直接使用了IP核中的FIR和DDS模块-Use ISE12.1 written Costas loop for carrier recovery, the direct use of the IP core of FIR and DDS module
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:1407
    • 提供者:nike
  1. costas_DPSK

    1下载:
  2. 采用costas环进行DPSK解调的程序。输入数据速率2.4Kbps,载波频率12KHz,采样率1.6MHz, 输入数据位宽12位,快捕带为799.617Hz-Costas ring using DPSK demodulation process. Input data rate 2.4Kbps, carrier frequency 12KHz, sampling rate 1.6MHz, the input data 12 bits wide, fast catching band is 79
  3. 所属分类:VHDL-FPGA-Verilog

  1. costas

    0下载:
  2. 是有关QPSK的c编写。包含高斯噪声等#include <iostream> #include <fstream> #include <cmath> #include <ctime> #include <cstdlib>-C is related to the preparation of QPSK. Includes Gaussian noise
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:2706
    • 提供者:刘宇
  1. costas

    0下载:
  2. costas 环,计算相位,锁相位。功能不错。-costas loop
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-12
    • 文件大小:1003
    • 提供者:郑超
  1. costas

    0下载:
  2. 基于costas环路的载波同步,使收发时钟频率和相位一致,环路包括四个部分乘法器和低通滤波、鉴相器、环路滤波器和数字振荡器组成-Based on the carrier synchronization of Costas loop, the frequency and phase of the transmit and receive clock is the same. The loop consists of four parts, including the multiplier and
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:852
    • 提供者:panda
  1. costas

    0下载:
  2. costas锁相环matlab仿真代码,对costas环的研究和硬件实现具有指导意义。-Costas Phase-Loop MATLAB Code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1355
    • 提供者:lengmin
  1. costas

    0下载:
  2. matlab科斯塔斯环的仿真,有波形,很实用的程序(matlab costas m programm)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:zhangqingxi
搜珍网 www.dssz.com