CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - fpga 编码

搜索资源列表

  1. FPGAJPEGCODING

    0下载:
  2. motionjpeg的FPGA编码实现,有点老了,但是可以参考.有些东西和h.264是差不多的.-motionjpeg FPGA Coding, a bit old, but the reference. Some things and h.264 is roughly the same.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:382726
    • 提供者:喻袁洲
  1. FPGA上实现TURBO码的编码

    2下载:
  2. 在赛灵思的FPGA上实现的TURBO码的编码程序
  3. 所属分类:VHDL编程

  1. CJQ-V1.0-fpga

    1下载:
  2. 主要实现采集电网信号的功能,源码包括控制AD7606进行AD转换,其次实现FT3数据的传输,包括转为曼彻斯特编码-Collecting grid signal to achieve the main function, including control of AD7606 source for AD conversion, followed by the realization of FT3 data transmission, including to Manchester encoding
  3. 所属分类:VHDL编程

    • 发布日期:2012-07-05
    • 文件大小:2180167
    • 提供者:李俊
  1. BCHencodeanddecode

    1下载:
  2. bch 编码和译码,用硬件语言vhdl实现-bch edcode and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-10
    • 文件大小:174621
    • 提供者:唐娇
  1. Based-on-FPGA-of-FIR-filters

    0下载:
  2. 基于FPGA的高阶FIR滤波器的设计,数字滤波器,分布式算法,CSD编码-Based on FPGA order FIR filters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4720640
    • 提供者:李功福
  1. coder_counter

    1下载:
  2. 增量式光电编码器计数器的FPGA实现程序,verilog3段式FSM,异步加载.-Incremental Optical Encoder counter program FPGA implementation, verilog3 struts FSM, asynchronous load.
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-29
    • 文件大小:1129
    • 提供者:
  1. rs_encorder

    0下载:
  2. RS编码的fpga实现,详细的vhdl文档,可以硬件实现。-RS coding fpga implementation, detailed documentation of vhdl can be implemented by hardware.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4158
    • 提供者:yan
  1. RS-code

    0下载:
  2. 我测试过的!Verilog HDL实现RS编码。-I' ve tested it! RS coding Verilog HDL implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:982874
    • 提供者:kiekie
  1. FPGA-basedmultipliersCSDcode

    0下载:
  2. 基于FPGA的CSD编码乘法器(在MATLAB环境中)-FPGA-based multipliers CSD code (in MATLAB environment)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:80865
    • 提供者:xiaoyuehaome
  1. CJQ-V1.0-fpga

    2下载:
  2. 主要实现采集电网信号的功能,源码包括控制AD7606进行AD转换,其次实现FT3数据的传输,包括转为曼彻斯特编码-Collecting grid signal to achieve the main function, including control of AD7606 source for AD conversion, followed by the realization of FT3 data transmission, including to Manchester encoding
  3. 所属分类:VHDL编程

    • 发布日期:2014-04-01
    • 文件大小:2180220
    • 提供者:李俊
  1. FPGA-LDPC

    1下载:
  2. 用FPGA实现使用LDPC编码器和译码器-FPGA implementation by using LDPC encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:484429
    • 提供者:雷锋
  1. man

    1下载:
  2. 基于曼彻斯特编码的论文,适用于无线通信的编码方法-Paper-based Manchester encoding for the coding method for wireless communication
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:244425
    • 提供者:cckk
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. video_from_opencore

    0下载:
  2. 全电视信号编码器,verilog的,看看有借鉴价值否?-video signal encoder, Verilog, to see whether the reference value?
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:153222
    • 提供者:12
  1. s3esk_rotary_encoder_interface

    1下载:
  2. Xilix spartan 3E 旋转编码器接口,脉冲方向识别,AB脉冲滤波 Rotary Encoder Interface Demonstrates how to use the rotary encoder portion of the rotary pushbutton switch.-Xilix spartan 3E rotary encoder interface, pulse direction identification, AB pulse filter Ro
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-21
    • 文件大小:279753
    • 提供者:weihua yuan
  1. ENCODE

    0下载:
  2. 本源码实现交织编码,源码为VHDL语言。运行于发射端FPGA。-Interleaved Coded achieve this source, source code for VHDL language. Running on the transmitter FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2569
    • 提供者:yinglun
  1. Turbo

    0下载:
  2. 基于fpga的交织编码器设计,主要讲叙如何在fpga上实现交织编码器。-something about turbo。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1836605
    • 提供者:xixi
  1. mealy1

    0下载:
  2. mealy 状态机的独热编码源程序,接受么mealy状态机的编写规则。-mealy state machine of one-hot encoding source code, you mealy state machine to accept the preparation of the rules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:676
    • 提供者:liyanjun
  1. FPGA 正交编码 verilog

    1下载:
  2. 用Verilog写的2倍频率正交编码的仿真测试程序,仿真波形已经调出
  3. 所属分类:VHDL编程

  1. 好-无线通信FPGA设计-Xilinx

    4下载:
  2. 《无线通信FPGA设计》以Xilinx公司的FPGA开发平台为基础,综合FPGA和无线通信技术两个方向,通过大量的FPGA开发实例,较为详尽地描述了无线通信中常用模块的原理和实现流程,包括数字信号处理基础、数字滤波器、多速率信号处理、数字调制与解调、信道编码、系统同步、自适应滤波算法、最佳接收机,以及WCDMA系统的关键技术。《无线通信FPGA设计》概念明确、思路清晰,追求全面、系统、实用,使读者能够在较短的时间内具备无线通信领域的FPGA开发能力。(The design of wireless
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com