CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - fpga ccd

搜索资源列表

  1. ARM_FPGA_CCD

    1下载:
  2. 基于ARM和FPGA的嵌入式CCD采集系统的一篇论文,写的不错,值得参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:334668
    • 提供者:yj
  1. DE2_70_LTM_CCD.zip

    0下载:
  2. A design on a DE270 FPGA with the use of CCD: a camera DC2 and a TRDB LTM after reading from the SRAM. ,A design on a DE270 FPGA with the use of CCD: a camera DC2 and a TRDB LTM after reading from the SRAM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3850415
    • 提供者:Mouna
  1. DE2_TV

    0下载:
  2. 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌 入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频解码芯片 ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII 系列FPGA(EP2C35)上实现。结果显示本设计具有速度高、成本低、易于集成等优点-Analysis of a variety of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4064549
    • 提供者:looksky
  1. CCDqudong

    0下载:
  2. 控制CCD曝光,及CCD相关驱动程序,一个很号的索尼CCD驱动程序-CCD exposure control, and CCD-related drivers, a number of Sony CCD driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24909
    • 提供者:linhao
  1. CCD_DRIVER

    0下载:
  2. verilog HDL语言,线性CCD1501D驱动程序,基于FPGA,其他线性传感器可参照修改。-verilog HDL language, linear CCD1501D driver, based on the FPGA, the other linear sensor can be modified by reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:293387
    • 提供者:liuyuetian
  1. CCD_senior_design_final_report

    0下载:
  2. 一个基于FPGA和CCD的视觉处理硬件平台项目开发文档-The design is a first step towards a hardware implementation of the super-resolution algorithms and other multimedia projects.The design presented in this paper may be used as a platform for many multimedia and image pro
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:483152
    • 提供者:neversee
  1. de2_lcm_ccd_sram

    1下载:
  2. 这是altera公司DE2的lcm-ccd-sram的代码,希望对大家编写有用-this code based on the altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:918247
    • 提供者:ningning
  1. 61EDA

    0下载:
  2. 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌 入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频解码芯片 ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII 系列FPGA(EP2C35)上实现。结果显示本设计具有速度高、成本低、易于集成等优点-Analysis of a varie
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:179647
    • 提供者:李明
  1. zx

    1下载:
  2. CCD图像的颜色插值算法研究及其FPGA实现 ,这是一篇论文,里面详细介绍了如何实现图像处理的方法-CCD color image interpolation algorithm and its FPGA implementation, which is a paper, which details how to implement image processing method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4648058
    • 提供者:
  1. verilog

    0下载:
  2. 基于DSP和FPGA的CCD 图像采集系统设计与实现-FPGA-based DSP and CCD image acquisition system design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:26037
    • 提供者:郭天然
  1. CCDFPGA

    0下载:
  2. CCD图像的颜色插值算法研究及其FPGA实现-CCD color image interpolation algorithm and its FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4644211
    • 提供者:long
  1. CPLDMod2

    0下载:
  2. CCD 驱动控制程序 基于CPLD 多项执行-CCD drive control program is based on a number of FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19812290
    • 提供者:晓dawn
  1. CCD-circuit-of-FPGA-based-design

    0下载:
  2. 基于FPGA的CCD驱动电路的设计,对CCD驱动新手很有帮助-CCD driving circuit of the FPGA-based design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:586102
    • 提供者:liuhaiying
  1. FPGA_CCD

    0下载:
  2. 基于FPGA的CCD扫描缺陷检测实时数据处理技术的研究-FPGA-based real-time data of the CCD scan defect detection processing technology
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11126242
    • 提供者:qinxiangfu
  1. EDAshipinchuli

    1下载:
  2. 采用FPGA芯片对,CCD摄像头的输出的模拟视频信号进行采集,转换为640*480分辨率的视频数据,并暂存于外部SRAM或SDRAM中-The FPGA chip, the output of the CCD camera analog video signal acquisition, converted to 640* 480 resolution video data, and temporarily stored in the external SRAM or SDRAM
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-24
    • 文件大小:3904649
    • 提供者:白龙
  1. TCD

    0下载:
  2. 基于FPGA的线阵ccd的TCD1501D的verilog驱动。-The verilog drive based on FPGA linear array the ccd' s TCD1501D the.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:668
    • 提供者:ckshy
  1. CCD_DRIVER

    0下载:
  2. fpga提供ccd驱动,并采集ccd信号-FPGA provides CCD- driven, and collected CCD signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:266109
    • 提供者:tandy
  1. DoubleRoad

    0下载:
  2. 用VHDL编写的FPGA程序,运行在ISE中,仿真通过,设计一种CCD的采集方案-The FPGA program written in VHDL, run in the ISE, simulation, design a kind of CCD acquisition scheme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1864
    • 提供者:Tom
  1. ccd

    0下载:
  2. FPGA用于驱动线阵CCD的程序,对应东芝公司的线阵CCD,只需少量修改既可用于其他2相线阵CCD- FPGA program for driving linear CCD, the corresponding Toshiba linear CCD, with only minor modifications can be used for other two-phase linear CCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:60451
    • 提供者:whd
  1. FPGA_VGA_CCD

    1下载:
  2. 基于FPGA 的VGA高清CCD实现代码,图像处理的非常清楚,可用于实际项目
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-05-23
    • 文件大小:94314
    • 提供者:GGGGGGG
« 12 »
搜珍网 www.dssz.com