CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - gcd

搜索资源列表

  1. gcd

    1下载:
  2. 欧几里得算法求最大公约数电路的Verilog实现,消耗功率较低-Euclid algorithm for the realization of the common denominator Verilog circuit, lower power consumption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1825
    • 提供者:jh
  1. gcd

    0下载:
  2. 这是一个求最大公约数的verilog源码-this is a verilog source code which can count the greatest common divider .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:312301
    • 提供者:杨振飞
  1. GCD

    0下载:
  2. 最大公约数的计算,各个源描述的编译顺序:gcd.vhd,gcd_stim.vhd-The common denominator of the calculation, the various sources described in the order of the compiler: gcd.vhd, gcd_stim.vhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2036
    • 提供者:李扬
  1. ou

    0下载:
  2. 欧几里德算法:辗转求余  原理: gcd(a,b)=gcd(b,a mod b)  当b为0时,两数的最大公约数即为a  getchar()会接受前一个scanf的回车符-Euclidean algorithm: Principles of travel for more than: gcd (a, b) = gcd (b, a mod b) when b is 0, the two common denominator is the number of a getchar () will ac
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2310
    • 提供者:俞佳
  1. EuclideanalgorithmfGCD

    0下载:
  2. In number theory, the Euclidean algorithm (also called Euclid s algorithm) is an algorithm to determine the greatest common divisor (GCD) of two elements of any Euclidean domain (for example, the integers). Its major significance is that it does not
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:8141
    • 提供者:wonder
  1. VHDLcodes

    0下载:
  2. Behavioral descr iption of ALU, RAM MODULE, ROM MODULE, DIVIDE BY N COUNTER, GENERIC DIVIDER 2n+1, GCD CALCULATOR, GCD FSM CODE, JK FLIP FLOP in VHDL . These are fully synthesized codes with optimization.- Behavioral descr iption of ALU, RAM MODULE,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6163
    • 提供者:Vijay
  1. VHDLvsVerilog

    0下载:
  2. This document is in two parts. The first part takes an unbiased view of VHDL and Verilog by comparing their similarities and contrasting their differences. The second part contains a worked example of a model that computes the Greatest Common Divisor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:24621
    • 提供者:lavanya
  1. gcd

    0下载:
  2. 求最大公约数的vhdl 源代码 gcd-gcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1082
    • 提供者:xz
  1. gcd

    0下载:
  2. this a program to calculate the gcd of two numbers and then display the result-this is a program to calculate the gcd of two numbers and then display the result
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:176362
    • 提供者:nauman
  1. gcd_lcm

    0下载:
  2. 求两个100以内整数的最大公约数和最小公倍数,只用加法和减法运算-Find the greatest common divisor of two integers less than 100 and the least common multiple, only addition and subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:847
    • 提供者:刘涛
  1. GCD

    1下载:
  2. Verilog 最大公约数设计RTL级代码和芯片设计图-Verilog GCD Design and synthesis layout
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:220377
    • 提供者:
  1. gcd

    0下载:
  2. 在VHDL里面实现一个简单的GCD算法,包含源代码,并且通过了编译和综合。-Inside the VHDL to implement a simple GCD algorithm, including source code, and through the compilation and synthesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:205461
    • 提供者:fuxing
  1. gcd3

    0下载:
  2. 用verilog代码编写的GCD即找两个数之间的最大公约数的FPGA工程。-Verilog code written with the GCD of two numbers that find the common denominator between the FPGA project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:303955
    • 提供者:袁媛
  1. gcd_performence

    0下载:
  2. 基于流水线设计的性能优先的gcd算法的verilog 代码 频率可达500M-based pipeline design gcd for high clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3256
    • 提供者:youyou
  1. gcd

    0下载:
  2. 分别基于功耗优先和性能优先的欧几里得求最大公约数算法 包括说明文档-based performence and power design for gcd cotain the instruction word
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:32763802
    • 提供者:dan chen
  1. PipeLine-GCD-DSP

    0下载:
  2. 流水线结构的最大公约数处理器,处理的数据为32bit,采用64级流水线实现。-A pipeline sturcture GCD DAC, data width is 32bit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4040
    • 提供者:yefeng
  1. Verilog-code-for-finding-GCD

    0下载:
  2. State machine implemented in verilog to find GCD of two 8 bit numbers. Two files are included (module and its testbench)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1393
    • 提供者:sumeshp1
  1. GCD-CALCULATOR

    0下载:
  2. GCD CALCULATOR (ESD book figure 2.11) Weijun Zhang, 04/2001 we can put all the components in one document(gcd2.vhd) or put them in separate files this is the example of RT level modeling (FSM + DataPath) the code is synthesize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1919
    • 提供者:mohamed
  1. GCD

    0下载:
  2. synthesis GCD using systemc
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:10391552
    • 提供者:doanh
  1. GCD calculator

    0下载:
  2. gcd calculator is a module that if two parameter has egual value ...
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:3072
    • 提供者:allia
« 12 »
搜珍网 www.dssz.com