CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - lfsr

搜索资源列表

  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. LFSR

    0下载:
  2. lfsr implement in fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:873
    • 提供者:majid
  1. profiles

    0下载:
  2. source code of counter,ram,lfsr etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2267
    • 提供者:narsimha
  1. LFSR

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1252
    • 提供者:Viral
  1. pnseed

    0下载:
  2. calculates Linear Feedback Shift Register(LFSR) mask and seed values for a specific phase shift.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:2528
    • 提供者:teapot72
  1. LFSR

    0下载:
  2. LFSR模块,单个模块,实现移位寄存器,生成测试用pattern-LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2381
    • 提供者:henin
  1. cdma

    0下载:
  2. vhdl code for flip-flop,lfsr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:104663
    • 提供者:sandeep
  1. demo-lfsr

    0下载:
  2. Demo of LFSR pseudo random number generator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:447949
    • 提供者:sohailto
  1. BIST

    0下载:
  2. A simple BIST in VHDL. It contains a LFSR with an SISR.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:405673
    • 提供者:bommeren
  1. 4bit.lfsr.counter

    0下载:
  2. 4 bit lfsr 随机数 移位计数器-4bit lfsr counter and layout
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14686
    • 提供者:
  1. 8LFSR

    0下载:
  2. 8阶LFSR,有文档介绍,算是比较好的一个了-8-order LFSR, a document describes the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:16395
    • 提供者:薛涵
  1. lfsr

    0下载:
  2. linear feedback shift register verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3911
    • 提供者:zcos123
  1. lfsr

    0下载:
  2. lfsr.vhd - The top module in the project. lfsr_pkg.vhd - The package file used for supporting the lfsr top module. lfsr_tb - A testbench code for lfsr module. manual.pdf - A short documentation on this project. README.txt - A short descr i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:115264
    • 提供者:tmanev
  1. lfsr

    0下载:
  2. the LFSR is coded in VHDL, using a structural descr iption, which is instantiated as a separate component in the top-level design. Then we can get a random number by a pseudorandom number generator based on a linear feedback shift register (LFS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1725
    • 提供者:宋臣
  1. lfsr

    0下载:
  2. In computing, a linear feedback shift register (LFSR) is a shift register whose input bit is a linear function of its previous state.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2545
    • 提供者:anup
  1. lfsr-counter

    0下载:
  2. descr iption for LFSR counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:18477
    • 提供者:Abhijeet
  1. FIFO-Controller-with-LFSR

    0下载:
  2. FIFO Controller With LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3059
    • 提供者:hadimk
  1. LFSR-FINAL-DELIVERY

    0下载:
  2. lfsr code of full versation working
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1618850
    • 提供者:srikanth
  1. verilog-lfsr-updown-counter

    0下载:
  2. Verilog 8 bit LFSR Up-Down Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:9787
    • 提供者:cmags
  1. LFSR

    0下载:
  2. Verilog code for an 8-bit LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:746
    • 提供者:baboy
« 12 3 »
搜珍网 www.dssz.com