CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - lpm

搜索资源列表

  1. pinglvhecheng

    0下载:
  2. 程序用VHDL实现: 频率合成,DDS 主要调用LPM-procedures using VHDL : frequency synthesis, DDS major call LPM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:145599
    • 提供者:刘赛
  1. quartusGuide

    0下载:
  2. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif – 第三方工具 • EDIF • HDL • VQM – 或采用一些别的方法去优化和提高输入的灵活性: • 混合设计格式 • 利用LPM和宏功能模块来加速设计输入-design inpu
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:845530
    • 提供者:fgghh
  1. LEDhanzigundong_VHDL

    0下载:
  2. 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186110
    • 提供者:wang
  1. Example-b8-1

    0下载:
  2. 使用ModelSim对Altera设计进行功能仿真 对于没有使用到Altera的MegaWizard或LPM的设计而言,功能仿真比较简单,读者只需依据8.2.5小节描述的步骤依次执行即可,对于使用了MegaWizard或LPM的设计,则必需在仿真时指定相关的Altera库
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3923939
    • 提供者:king
  1. LPM 个人整理了一些quartus II 中常用的宏模块

    0下载:
  2. 个人整理了一些quartus II 中常用的宏模块,里面有他们的功能介绍,希望对大家有用。-Individuals compiled some commonly used macros quartus II module, which have their functional descr iption, want to be useful.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:2433
    • 提供者:杜维轩
  1. ug_lpm_rom.rar

    0下载:
  2. quartus rom的生成 运用matlab生成.mif或.hex文件 载入rom表,quartus rom the use of matlab generated generation. mif or. hex file loading rom Table
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:824156
    • 提供者:王欣欣
  1. VHDL.rar

    0下载:
  2. 教你在Quartus II中如何实用LPM库,对与FPGA系统设计有很好指导作用,Teach you how to Quartus II in the LPM utility library, with the FPGA system design have a very good guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:351934
    • 提供者:钟桂东
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. Micro-program

    0下载:
  2. 微程序控制电路是CPU 控制器的核心电路,控制产生指令执行时各部件协调工作所需的所有控制信号,以及下一条指令的地址。微程序控制器的组成如图6-12 所示,主要由三个部分组成,分别是微指令控制电路、微地址寄存器和微指令存储器lpm_rom 其中微指令控制电路用组合电路对指令中的1[7..2] 、操作台控制信号SWA 和SWB 的状态、状态寄存器的输出状态FC 、FZ ,产生微地址变化的控制信号,实现对微地址控制:微地址寄存器控制电路的基本输入信号是微指令存储器的下地址字段M[6..1] ,同时还受
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:2584740
    • 提供者:623902748
  1. coswave

    0下载:
  2. 主要是通过Altera公司的Cuclone系列的FPGA-EP1C3T144C8产生余弦波的源代码 基于LPM-ROM余弦波一周期含有256个10位数据;-Mainly through Altera s Cuclone series of FPGA-EP1C3T144C8 cosine wave generated source code based on the LPM-ROM cosine wave of one cycle containing 256 10-bit data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:323437
    • 提供者:江俊
  1. 13

    0下载:
  2. para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3394
    • 提供者:libing
  1. englishVHDL

    0下载:
  2. 在VHDL语言中如何使用LPM库.PPT-In the VHDL language how to use the LPM Treasury. PPT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:352105
    • 提供者:
  1. lpm_ram

    0下载:
  2. 一个基于quartus的LPM_RAM例子,VHDL语言写的,通过仿真测试-Quartus the LPM_RAM based on examples, VHDL language, and through simulation testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:220956
    • 提供者:a64577122
  1. dds

    0下载:
  2. 这是用VERILOG描写的一个DDS的实例,涉及到一些lpm的运用希望对大家有用-it‘s useful。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:881953
    • 提供者:tom
  1. LPM3

    0下载:
  2. msp430进入LMP3休眠模式,每4秒点亮LED灯-his program operates MSP430 normally in LPM3, pulsing P3.4 at 4 second intervals. WDT ISR used to wake-up system. All I/O configured as low outputs to eliminate floating inputs. Current consumption does increase wh
  3. 所属分类:SCM

    • 发布日期:2014-05-16
    • 文件大小:19473
    • 提供者:陈天赐
  1. lpm_ram

    0下载:
  2. altera LPM_RAM的使用,有简单的程式和模拟结论.大家写的时候可以参考.-altera LPM_RAM the use of a simple programming and simulation findings. we can refer to when writing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:612
    • 提供者:tupeng
  1. IAR_MSP430_LPM

    0下载:
  2. MSP430 LPM SOURCE CODE FOR IAR
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:1086
    • 提供者:dyok
  1. LPM

    0下载:
  2. vhdl中LPM的应用编写完成程序,经实验验证没有错误!可以对学习LPM的同学起到引导作用。-vhdl in LPM application written procedure by experimental validation, no errors! Learning LPM students can play a guiding role.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:182926
    • 提供者:
  1. LPM

    0下载:
  2. 对LPM兆功能单元的lpm_fifo模块进行合理的参数设置,借助仿真手段分析输入、输出端口的功能,并进行简单的说明。-LPM module for lpm_fifo functional unit trillion reasonable set of parameters, with the simulation analysis capabilities means input and output ports, and a simple explanation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9478
    • 提供者:李强
  1. cc1110-lpm-test

    0下载:
  2. CC1110的功耗测试程序,用来测试几种不同LPM模式下的功耗-CC1110 power test program as a basis for testing CC1110 power.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:22221
    • 提供者:sony19900107
« 12 »
搜珍网 www.dssz.com