CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - matlab 仿真 系统

搜索资源列表

  1. wholeofdmproject

    0下载:
  2. 完整的OFDM系统,含Matlab仿真代码和DSP源代码下载.平台Tms320C6000.含项目说明文档.绝对高质量的源代码.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:885853
    • 提供者:chenfei
  1. MATLAB语言与控制系统仿真

    1下载:
  2. MATLAB语言与控制系统仿真
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2011-04-09
    • 文件大小:509358
    • 提供者:tianyaykq
  1. mcudesign

    3下载:
  2. 单片机设计,毕业设计 16×16点阵(滚动显示)论文+程序 cdma通信系统中的接入信道部分进行仿真与分析 LED显示屏动态显示和远程监控的实现 MCS-51单片机温度控制系统 USB接口设计 毕业设计(论文)OFDM通信系统基带数据 仓库温湿度的监测系统 单片机串行通信发射机 单片机课程设计__电子密码锁报告 单片机控制交通灯 电动智能小车(完整论文 电气工程系06届毕
  3. 所属分类:SCM

    • 发布日期:2014-10-22
    • 文件大小:8663936
    • 提供者:zhangyun
  1. mtd

    0下载:
  2. MTD定点浮点仿真,可直接用于fpga算法的仿真程序,产生了扫频信号,仿真直接输出系统频率响应函数,为系统测试带来好处-MTD fixed-point floating-point simulation, fpga algorithm can be used directly in the simulation program to produce a sweep signal, the direct simulation output system frequency response fun
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7044
    • 提供者:何亮
  1. MySim

    1下载:
  2. RFID系统密集读写PPC算法的设计和仿真-PPC-intensive to read and write RFID system design and simulation algorithm
  3. 所属分类:Windows CE

    • 发布日期:2017-04-25
    • 文件大小:9326
    • 提供者:张莹
  1. DC_DanHuanZSDLfk

    0下载:
  2. 直流电机调速系统仿真,建立模型,系统仿真,画出仿真曲线-DC motor speed control system simulation, modeling, system simulation, simulation curve drawn
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:12237
    • 提供者:gfj
  1. DC_DanHuanNoS

    1下载:
  2. 直流电机调速系统仿真,建立模型,系统仿真,画出仿真曲线-DC motor speed control system simulation, modeling, system simulation, simulation curve drawn
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:12024
    • 提供者:gfj
  1. 2

    1下载:
  2. 基于Matlab的伪码调相探测器系统仿真,毕业设计完整稿,适合做毕设的同学参考-Matlab code based on the pseudo-phase modulation detector system simulation, design of a complete draft graduation for students who do complete reference set
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:841744
    • 提供者:王男
  1. ieee_15_4b

    0下载:
  2. IEEE802.15.4标准收发系统仿真程序,原创的哦,有问题可以站内 Matlib6.5版本的-IEEE802.15.4 standard transceiver system simulation program, original Oh, there are problems can be station Matlib6.5 version of the
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:7912
    • 提供者:zhongyan02
  1. Control System Simulation

    1下载:
  2. 本压缩包包含四个实验(1、计算机仿真研究 2、Simulink仿真 3、数值积分算法仿真实例 4、直流电机拖动系统)的报告以及相关MATLAB程序-The archive consists of four experiments (1, computer simulation 2, Simulink simulation 3, numerical integration algorithm simulation 4, DC motor drive system) reports and rela
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-08-09
    • 文件大小:211365
    • 提供者:林涛
  1. 1

    0下载:
  2. 一个完整的雷达系统仿真MATLAB程序,非常具有参考价值-A complete radar system simulation MATLAB programs have great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:898774
    • 提供者:老何
  1. IterativeLearningControlforLinearMotorMotion

    0下载:
  2.  迭代学习控制的直线电机的运动   系统,及其收敛性分析仿真-Iterative Learning Control for Linear Motor Motion System
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:159224
    • 提供者:caili
  1. AS3991Reader

    0下载:
  2. 本文结合超高频RFID读写器的发展趋势,提出了一种基于EPC Gen2标准的 915MHz超高频RFID读写器设计,并完成了系统测试。涉及的主要工作有: 1.分析了EPC Gen2标准的技术特点和各项指标,研究了UHF RFID读写器的 系统原理和基本结构,详细探讨了整个系统通信链路的传播特点。 2.分析了读写器射频电路。MCU采用单片机C8051F340,射频芯片采用奥地利 微电子的高集成度UHF RFID读写芯片AS3991。完成了硬件电路的搭建和测 试。 3.设
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4340827
    • 提供者:HY jian
  1. Double-loop--speed-control

    0下载:
  2. 直流电机双闭环调速仿真模型,实现直流电机双闭环系统的matlab仿真-The simulation model of DC motor using Double-loop speed control
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:7151
    • 提供者:张小强
  1. DSP-matlab

    0下载:
  2. 一种基于DSP目标板的嵌入式软件仿真系统设计,实现DSP与matlab的数据传输-A DSP-based target board of embedded software simulation system designed to achieve data transfer between DSP and matlab
  3. 所属分类:DSP program

    • 发布日期:2017-03-24
    • 文件大小:405814
    • 提供者:why
  1. dsp-matlab

    0下载:
  2. 阐述了matlab的简易使用方法以及在电子仿真系统中的应用-Elaborated matlab easy to use as well as electronic simulation system
  3. 所属分类:DSP program

    • 发布日期:2017-11-14
    • 文件大小:17780557
    • 提供者:蒋明哲
  1. Matlab

    1下载:
  2. 基于数字基带传输系统MATLAB仿真代码,以及相关文件。-Based on the digital baseband transmission system MATLAB simulation code, and related documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:6223
    • 提供者:廖驰
  1. 21ic下载_有源滤波器Matlab仿真模型

    0下载:
  2. 数据采集监测计算伴随着微型计算机技术的快速发展,通过计算机处理,系统将采集的模拟信号转变成数字信号,得出数据,这些采集到的实时数据反映着不同的运行状态,并可以接收运行需要发出的各种命令。在各个领域中,不论是简单到复杂还是从表面到深入,数据采集系统的身影几乎无处不在,所以本课题的研究有着广阔的前景和经济价值,自二十世纪50年代到70年代以来,人们见证了数据采集系统的诞生与发展,从初步认可到逐渐分类,随着微型机的反正也诞生了许多性能优良的数据采集系统,但是在计算机普及之后,数据采集系统发生了巨大发展
  3. 所属分类:单片机开发

    • 发布日期:2018-04-19
    • 文件大小:460800
    • 提供者:v数控VB进步
  1. 信号与系统实验程序文件

    0下载:
  2. 信号与系统;仿真;MATLAB;例子;实验;(Signal and system; simulation; MATLAB; example)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:649216
    • 提供者:Lonely_god
  1. MATLAB通信系统仿真源码

    2下载:
  2. 源码里包含26个通信系统仿真技术的源码,可用。
  3. 所属分类:单片机(51,AVR,MSP430等)

« 12 3 »
搜珍网 www.dssz.com