CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - mpsk

搜索资源列表

  1. PL_MPSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4),即QPSK调制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:927
    • 提供者:王勇
  1. de_PL_MPSK

    0下载:
  2. 基于VHDL硬件描述语言,完成对MPSK调制信号 的解调(这里M=4),即QPSK的解调
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:278597
    • 提供者:王勇
  1. elecfans.comMPSK

    0下载:
  2. 用VHDL实现的基带信号进行MPSK调制 及串并转换-Achieved using VHDL baseband MPSK signal modulation and SERDES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2368
    • 提供者:王黎波
  1. MPSK_VHDL

    0下载:
  2. --文件名:PL_MPSK --功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4) -- File Name: PL_MPSK- features: VHDL hardware descr iption language based on the base-band signal MPSK modulation (here M = 4)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:80461
    • 提供者:huangsong
  1. VHDLcodeofMPSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4)-VHDL code for MPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:80212
    • 提供者:时国美
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. MPSKVHDL

    0下载:
  2. MPSK调制与解调VHDL程序与仿真,内有详细说明及程序,仿真结果。-MPSK modulation and demodulation procedures and VHDL simulation, with detailed instructions and procedures, the simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:80346
    • 提供者:李东
  1. MPSK-modemVHDL

    0下载:
  2. MPSK调制解调器的设计与仿真实现的文档,内附有代码-Design and Simulation of MPSK modem implementation document, containing a code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:80207
    • 提供者:林不野
  1. zhu

    0下载:
  2. FH/MPSK仿真,基于模块化,可以自行设置过参数,实现不同功能。-FH/MPSK simulation, based on modular, you can set off their own parameters to achieve different functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11953
    • 提供者:周波
  1. xinjian

    0下载:
  2. mpsk的解调代码 主要为调制程序的VHDL的仿真程序-mpsk code mainly for the modulation and demodulation process VHDL simulation program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1002
    • 提供者:请来
  1. 8.14-MPSK-VHDL

    0下载:
  2. MASK调制VHDL程序及仿真 一调试验证通过-MASK modulation and simulation of a VHDL program verified through debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:80141
    • 提供者:liufei
  1. mpsk

    0下载:
  2. 通信系统中相移键控的实现,可供初学者参考-Phase shift keying communication system in the realization of reference for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2898
    • 提供者:www
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. raoma

    0下载:
  2. MPSK的matlab仿真中复扰码的生成函数-MPSK matlab simulation of complex scrambling code generating function
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:759
    • 提供者:zhuiwen
  1. MASK-code---decode

    0下载:
  2. FPGA作为核心控制器,实现MPSK的调制与解调功能-FPGA as the core controller MPSK modulation and demodulation functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:39136
    • 提供者:sunflower
  1. AD9957-SPI

    3下载:
  2. 内含AD9957的SPI配置程序,输出为单音。目前该程序仅给出三个寄存器的配置,如有需要,简单阅读程序,即可对程序进行修改,本人项目中使用的为该配置程序,能成功输出各种MPSK波形。-The AD9957 contains SPI configuration program, the output is mono. Currently, the program gives only three registers configuration, if necessary, a simple rea
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:750953
    • 提供者:61408520
  1. MPSK-modulation-and-demodulati

    0下载:
  2. MPSK调制与解调VHDL程序源代码与仿真-MPSK modulation and demodulation process and VHDL source code and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:80044
    • 提供者:吴云志
  1. s_to_p_fpga

    1下载:
  2. 采用Verilog编写的串并转换模块,可以用在MPSK调制和OFDM调制系统中。-Use Verilog write string and conversion module, which can be used in MPSK modulation and OFDM modulation system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-16
    • 文件大小:279552
    • 提供者:qiaofeng
  1. MPSK-modulation-VHDL-

    0下载:
  2. MPSK调制与解调VHDL程序与仿真,本文为DOC文档,附有源码和仿真波形-MPSK modulation and demodulation VHDL program and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:92111
    • 提供者:
  1. MPSK_MODULATION_DEMODULATION_CODE

    0下载:
  2. MPSK调制与解调VHDL程序_好用_测试正确-MPSK modulation and demodulation of VHDL program _ with _ test correctly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:787
    • 提供者:于工
« 12 »
搜珍网 www.dssz.com