CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - peak

搜索资源列表

  1. EasyIsp下载线

    0下载:
  2. 您访问的文件已经超过了基于目前系统繁忙程度所允许的免费用户下载次数。 每天3点至6点为Mofile欢乐下载时间,文件提取下载次数不受限制。 -your visit to the paper over the current system based on the peak levels allowed by the number of free users to download. Daily 3:00 to 6:00 for Mofile joy download time, th
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:742050
    • 提供者:
  1. 从体系结构的演变看高性能微处理器的发展趋势

    0下载:
  2. 随着高性能计算的需求,计算机体系结构发生了很大变化。作为计算机核心部件的微处理器,其性能和复杂性(晶体管数、时钟频率和峰值)也按照摩尔定律增长。微处理器性能的改善在很大程度上归功于体系结构的发展和VLSI工艺的改进。体系结构的发展主要体现在三个方面,即超流水、多指令发射和多指令操作。 -With the demand for high-performance computing, computer architecture undergone great changes. As the co
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:102112
    • 提供者:李易
  1. jizhong

    2下载:
  2. 完整的远程抄表系统程序.包含电量采集(分峰时,平时和谷时).系统已申请专利-integrity of the remote meter reading system procedures. Acquisition includes electricity (at peak hours, in peacetime, and the trough). Has applied for the patent system
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:22803
    • 提供者:shileijun
  1. usb_HLD3Core(400)_(B)

    0下载:
  2. 接ADDA 板卡,外接信号源(峰峰值最大为1V),运行PC 端程序可 以将输入的信号源波形在PC 上显示出来,完成USB 的数据采集功能。-access ADDA Card, external signal source (peak to peak largest 1V), PC-operating procedures can be the source of the input signal waveform displayed on the PC, and complete USB d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:599647
    • 提供者:fanbo
  1. beep1

    0下载:
  2. 此源程序用于在PC104工控板上实现峰鸣器的声音驱动.-this source for the IPC board PC104 achieve peak Wong of voice-driven.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:5663
    • 提供者:廖先生
  1. Peak-CAN-PCI

    0下载:
  2. Peak-CAN控制器(PCI接口)的驱动程序,for linux,兼容SJA1000 CAN设备。
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:19611
    • 提供者:Turst
  1. 电子线路实验报告

    0下载:
  2. 设计一数字 频率计,其技术要求如下: (1) 测量频率范围:1Hz~100kHz。 (2) 准确度Dfx/fx£ ± 2%。 (3) 测量信号:方波,峰峰值为3V~5V。-design a figure frequency meter, the technical requirements are as follows : (a) measuring frequency range : 1Hz - 100kHz. (2) the accuracy Dfx / fxpound 2%. (
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:86191
    • 提供者:
  1. dangong.rar

    0下载:
  2. ① 设计并制作一个主站,传送一路语音信号,其发射频率在30MHz~40MHz之间自行选择,发射峰值功率不大于20mW(50 假负载电阻上测定),射频信号带宽及调制方式自定,主站传送信号的输入采用话筒和线路输入两种方式; ② 设计并制作一个从站,其接收频率与主站相对应,从站必须采用电池组供电,用耳机收听语音信号; ③ 当传送信号为300Hz~3400Hz的正弦波时,去掉收、发天线,用一个功率衰减20dB左右的衰减器连接主、从站天线端子,通过示波器观察从站耳机两端的接收波形,波形
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:629694
    • 提供者:liusixue
  1. pingljc.rar

    0下载:
  2. 本源码是基于MCS-51单片机的等精度频率计编写的。输入信号为峰峰值5v的正弦信号,频率测量范围10HZ~100MHZ ,频率测量精度为0.1 。采用1602液晶显示器显示测量结果。信号源由PROTEUS 的虚拟信号发生器产生。,The source is based on the MCS-51 microcontroller and other precision frequency counter prepared. 5v peak to peak input signal is sinus
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:72514
    • 提供者:李林
  1. 5464864

    0下载:
  2. DTSF-IF188型三相电能表数据修改软件峰谷平随意改编程器用24C65读写即可保管用价值2000元只为增加下载点数,呵呵。多多支持啊?-DTSF-IF188 three-phase energy meter data to modify the software free to change the peak level can be programmed to read and write 24C65 Used kept only by the value of 2,000 points
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:21397
    • 提供者:
  1. Oscilloscope

    0下载:
  2. 用verilog语言编写的数字示波器,在tft 2.4英寸液晶上显示波形、峰值等。-Verilog language with a digital oscilloscope, in tft 2.4 inch LCD display waveforms, peak, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-30
    • 文件大小:1713152
    • 提供者:孟祥龙
  1. MyProject

    4下载:
  2. 基于stm32 的示波器程序 实现信号峰峰值有效值和频率的测量-Stm32 based oscilloscope program to achieve peak RMS signal and frequency measurement
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-04-10
    • 文件大小:1372671
    • 提供者:liyu
  1. Digital-peak-detector

    0下载:
  2. 数字峰值检测,基于msp430单片机的电压峰值检测,可加入LCD显示波形,具有峰值记录功能-Digital peak detector, based on the peak voltage detection msp430 microcontroller, LCD display can be added to the waveform, with peak record
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:25484
    • 提供者:liu
  1. LPC236X

    0下载:
  2. LP236X的教程里面有许多源码例程。我当初可是花钱买来的。希望能帮得上忙。-LP236X the tutorial there are many source code routines. But I had to spend money bought. Hope that help was on the peak.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1221640
    • 提供者:魏伟
  1. DigitalFilterCprogram

    0下载:
  2. 在许多的数据采集系统中,现场的强电设备较多,不可避免 地会产生尖脉冲干扰,这种干扰一般持续时间短,峰值大,对这样 的数据进行数字滤波处理时,仅仅采用算术平均或移动平均滤波 时,尽管对脉冲干扰进行了1/n的处理,但,其剩余值仍然较大。 这种场合最好的策略是:将被认为是受干扰的信号数据去掉,这 就是防脉冲干扰平均值滤波法的原理。-In many data acquisition system, the strong electrical equipment at the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:4316
    • 提供者:倪晨
  1. BusMasteringPCIExpressInAnFPGA

    0下载:
  2. This paper describes a bus mastering implementation of the PCI Express protocol using a Xilinx FPGA. While the theoretical peak performance of PCI Express is quite high, attaining that performance is a complex endeavor on top of an already complex pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:141356
    • 提供者:Andrey
  1. AVRoscilloscope

    0下载:
  2. avr m16简单虚拟示波器,简单实用 性能 1:AD速度最高100K/s.最低为4ms间隔采样,一次采400点。 2:触发方式:单次,自动触发。触发电平设置。 3:扫描时间,增益控制(下位机这个功能还没有做出来)。 4:上移下移,时间的缩放。 5:采集点数可变,由宏来实现。 6:计算峰峰值(没做出来), 7:自动设置(没做出来)。 8:运行/停止。 -virtual oscilloscope avr m16 simple, simple
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:632219
    • 提供者:chen
  1. peak

    0下载:
  2. This code helps to find the peak detection of in receiver system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1056429
    • 提供者:Prabhat
  1. Measured-peak--maximum--average

    0下载:
  2. 测峰值,最大值,平均值c51程序,1602显示-Measured peak, maximum, average c51 procedures, 1602
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3496
    • 提供者:李昕月
  1. Wave-frequency-and-the-peak-achieved

    0下载:
  2. 硬件平台为MSP430f149,软件平台为IAR,能够实现正弦波的频率和峰峰值的计算,并在液晶屏lcd12864上显示-Hardware platform for MSP430f149, software platform for IAR, enables the calculation of peak frequency sine wave and displays it on the LCD screen lcd12864
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:63268
    • 提供者:柳明
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com