CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - verilog low pass filter

搜索资源列表

  1. filter_verilog.rar

    0下载:
  2. 用verilog实现的低通滤波器,输入输出精度为64位,并附有测试程序。,Use verilog to achieve a low-pass filter, input and output accuracy of 64, together with testing procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:83336
    • 提供者:周峰
  1. rmfilter

    0下载:
  2. 低通滤波器在QUARTUS7.0开发环境下的文本与框图结合的实现方法的源代码-Low-pass filter QUARTUS7.0 development environment in the text and diagram combination of methods to achieve source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10082
    • 提供者:Rebecca
  1. dspddc_R12p1

    1下载:
  2. 基于DSPbuilder搭建的DDC,里面包括CIC滤波器,FIR低通滤波器,HB半带滤波器,NCO等,实现了GC5016芯片的功能-DSPbuilder erected based on DDC, which include the CIC filter, FIR low-pass filter, HB half-band filter, NCO, etc. to achieve the function of the GC5016 chip
  3. 所属分类:DSP program

    • 发布日期:2014-10-26
    • 文件大小:17176
    • 提供者:郑程
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. fir_lpf

    0下载:
  2. 在FPFA上实现低通滤波,使用VERILOG编写-In FPFA to achieve low-pass filter, using VERILOG write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:987
    • 提供者:周志伟
  1. ser_fir

    0下载:
  2. 用verilog实现一个8阶的改进串行FIR低通滤波器,输入数据位宽为12比特,经符号扩展后变为13比特。-With verilog order to achieve an improvement of 8 serial FIR low-pass filter, the input data bit width of 12 bits by sign extension into a 13-bit after.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1213
    • 提供者:hgdlsl
  1. VerilogFIR

    0下载:
  2. low pass FIR filter programmed by Verilog, you can change the coefficients in the program to achieve different response
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4224742
    • 提供者:吴恒
  1. FIR2

    0下载:
  2. 以VERILOG语言描绘的用TLC549和TLC5615的数字低通滤波器的程序-VERILOG language used to describe the TLC549 and TLC5615 digital low pass filter process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:李柏睿
  1. FIR_Filter

    0下载:
  2. verilog的32阶FIR低通滤波器描述-verilog 32-order FIR low-pass filter described
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-15
    • 文件大小:1378304
    • 提供者:yuanjun
  1. lfp

    0下载:
  2. verilog HDL 编的8阶八位输入的低通滤波器-verilog HDL code of 8 eight-order low-pass filter input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:767780
    • 提供者:liqihong
  1. filter_lowpass

    0下载:
  2. 基于Verilog的低通滤波器的设计与实现-Based on the Verilog low-pass filter of design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:812
    • 提供者:洪依
  1. fir

    1下载:
  2. 用Verilog语言设计的一个数字FIR低通滤波器,很实用,通过modelsim仿真成功-Verilog language to design a digital FIR low-pass filter, very practical, through modelsim simulation success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:1685264
    • 提供者:liu
  1. FIR_Lowpass

    0下载:
  2. 用Verilog HDL编写的FIR低通滤波器。FIR低通滤波器采用8阶串行方式实现。-Written using Verilog HDL FIR low-pass filter. FIR low-pass filter 8-order serial.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:796183
    • 提供者:李桐
  1. fir_lowpass

    0下载:
  2. 简易FIR低通滤波器的verilog代码-Simple FIR low-pass filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1097
    • 提供者:谢文斌
  1. LPF_module

    0下载:
  2. 用verilog实现带宽可调的低通滤波器-Verilog to achieve the low-pass filter with adjustable bandwidth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:564
    • 提供者:李强
  1. fir_128factor

    0下载:
  2. 使用verilog 编写的128阶低通滤波器,抽头系数可调。-Prepared using verilog-order low-pass filter 128, the tap coefficients adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2666
    • 提供者:王尼玛
  1. fir_verilog_matlab

    0下载:
  2. 本设计是基于FPGA的一个FIR低通滤波器设计,要求使用Verilog语言编写滤波器模块,通过编译和综合,并通过Matlab和modelsim联合仿真验证设计结果。-This design is a FIR low-pass filter design based on FPGA, use Verilog to program filter module, and joint simulation by Matlab and modelsim to validate the design re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1352717
    • 提供者:郭婷
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. fir

    0下载:
  2. 基于verilog的 FIR低通滤波器的实现(Implementation of FIR low pass filter based on Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:140288
    • 提供者:yaaaan
  1. DDS_display

    0下载:
  2. 自己写的FIR八戒低通滤波器,仅供参考(Write your own FIR eight quit low-pass filter, for reference only)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:6893568
    • 提供者:laobi_verilog
« 12 »
搜珍网 www.dssz.com