CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - vhdl 计算器

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. Ivga

    0下载:
  2. 用VHDL写的计算器,实现加减功能以及VGA显示功能,适合VHDL初学者使用。-VHDL write calculators, Modified functions and achieve VGA display, VHDL for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:491451
    • 提供者:yumen
  1. calculation2

    0下载:
  2. 用VHDL语言实现0--100范围内简单计算器功能的源代码,包括加减乘除四种运算功能-VHDL 0 -- 100 within a simple calculator function in the source code. including the four arithmetic operations function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2729
    • 提供者:刘西圣
  1. calculator

    0下载:
  2. 用VHDL编写的计算器,能实现简单的加减乘除四则运算
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21911
    • 提供者:huyanlong
  1. 用VHDL编写的计算器

    3下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算 ,Prepared using VHDL Calculator: able to achieve simple addition and subtraction, multiplication and division 4 computing
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:3913
    • 提供者:邓法群
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. calculator

    0下载:
  2. VHDL编写计算器,功能包括:加,减,乘,除。通过keypad输入及输出-Calculator written with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:314504
    • 提供者:hodog
  1. verilog

    0下载:
  2. 组成原理的大作业,写一个计算器,用verilog语言写的-The composition of the major principles of operation, write a calculator, using the language written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8944097
    • 提供者:陈江
  1. 61EDA_D1051

    0下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:24720
    • 提供者:缺打打
  1. erwertwerwe

    1下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11093
    • 提供者:缺打打
  1. jisuanqi

    0下载:
  2. 用VHDL语言实现通用计算器设计,MUXPLUS2软件仿真验证-Implementation using VHDL language design generic calculator, MUXPLUS2 software simulation to verify
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1067377
    • 提供者:DAVID
  1. calculation2[1]

    0下载:
  2. vhdl语言实现加减乘除计算器设计主程序模块-calculator vhdl language design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5246
    • 提供者:juice
  1. ALU_VHDL_code

    1下载:
  2. ALU逻辑运算单元计算器的VHDL源代码,已通过FGPA验证,绝对正确。-ALU ALU calculator VHDL source code has been verified by FGPA absolutely correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5208
    • 提供者:周州
  1. VHDL

    0下载:
  2. 计算器实现 功能简单容易实现 可自我调试至更强大性能,不喜勿下-Calculator features simple and easy to achieve self-commissioning to a more powerful performance, do not like not under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5328
    • 提供者:shangrrw
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. Cal2

    0下载:
  2. 课堂学习自制VHDL计算器小程序,使用4*4键盘,A加法,B乘法,C退格,E等于,F清零。-A program of Calculator made in VHDL course in school, using 4*4 keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:779363
    • 提供者:Wang
  1. vhdl-Classic-examples

    0下载:
  2. 提供了很多常用的硬件描述语言的算法,如移位器,计算器,与或非门的基本写法-Provides many commonly used algorithms hardware descr iption language, such as shifters, calculators, and basic wording of NOR gates, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:168906
    • 提供者:以利亚
  1. Calculator

    0下载:
  2. VHDL计算器,涉及PS2输入,VGA视频输出,加法器,BCD转化。可以通过研究代码学习以上知识-VHDL calculator, involving PS2 input, VGA video output, the adder, BCD transformation. You can learn more knowledge through research code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1485765
    • 提供者:焱斐然
  1. VHDLwork

    0下载:
  2. 几个示例程序 用于初学者学习 比如计算器 停表之类程序(Several sample programs are used for beginners to learn)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:5050368
    • 提供者:林颂威
« 12 »
搜珍网 www.dssz.com