CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 -

搜索资源列表

  1. 0809

    0下载:
  2. 0809控制器程序 VHDL编写的 仅供参考-0809 controller procedures prepared by the VHDL is for reference only
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1851
    • 提供者:zhangbin
  1. washing

    1下载:
  2. 洗衣机控制器 做课程设计的同学可以下了看看 用vhdl语言做的 -washing machine controller design courses so students can see where the use of the VHDL language
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1766
    • 提供者:李莫普
  1. verilog_vga

    0下载:
  2. 用verilog HDL 语言写的在显示器上显示图案的源程序-with Verilog HDL language written on display in the pattern of the source
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:179789
    • 提供者:yhr
  1. easylight

    0下载:
  2. easydetect程序,是交通灯的verilog实现-easydetect process, the traffic lights to achieve verilog
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:971
    • 提供者:华沙
  1. rs-codec(255-223)

    6下载:
  2. 这是rs(255,223)编码的verilog源程序。里面有:encode、decode、test-bench等文件。-This is rs (255,223) verilog source coding. Inside : encode, decode, test-bench and other documents.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:18394
    • 提供者:
  1. rs-codec-8-4

    0下载:
  2. encode.v The encoder syndrome.v Syndrome generator in decoder berlekamp.v Berlekamp algorithm in decoder chien-search.v Chien search and Forney algorithm in decoder decode.v The top module of the decoder inverse.v Computes multiplic
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:44917
    • 提供者:zs8292
  1. pwm_VerilogHDLV1.1

    0下载:
  2. 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:232453
    • 提供者:wjz
  1. ver6.0

    0下载:
  2. windowsxp/2000下驱动程序开发软件winddriver6.0-windowsxp/2000 under driver development software winddriver6.0
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1041843
    • 提供者:王瑞书
  1. Chapter7Sample

    0下载:
  2. Chapter6Sample,FPGA嵌入式开发书籍的源码,其中含有USB控制器的设计 VHDL语言开发-Chapter6Sample, FPGA embedded development books source code, USB controller contains the VHDL Design Development
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:10370
    • 提供者:求知
  1. mvbc3_ise6_bak

    0下载:
  2. MVBC VHDL代码..实现多功能车辆总线的通信-MVBC VHDL code. . Multi-purpose vehicle bus communication
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:178646
    • 提供者:ATAO
  1. async_transmitter

    0下载:
  2. 用verilog实现rs232通信async_transmitter.v-with verilog achieve rs232 communications async_transmitter.v
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:974
    • 提供者:weixing
  1. async_receiver

    0下载:
  2. 用verilog实现rs232 receiveri -with verilog achieve rs232 receiveri
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1510
    • 提供者:weixing
  1. mt48lc4m32b2

    0下载:
  2. mt48lc4m32b2.v 是128M sdram 中典型设计。。可以借鉴。-mt48lc4m32b2.v 128M sdram is typical design. . Be used.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:8311
    • 提供者:chenliang
  1. SRAM_2

    0下载:
  2. FPGA的SDRAM控制器源程序 FPGA的SDRAM控制器源程序-FPGA SDRAM controller source FPGA SDRAM controller source
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:554116
    • 提供者:zlw
  1. fifo_ver_131

    0下载:
  2. fifo verilog hdl 源程序-fifo verilog hdl source
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:20831
    • 提供者:zlw
  1. gold_code_ver_217

    0下载:
  2. gold_code_ver_217 源程序-gold_code_ver_217 source
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:3906
    • 提供者:zlw
  1. DE2_Top

    0下载:
  2. 一个经过DE2板验证的数字移相信号发生器的HDL原代码!曾经能够获奖的,工程设计的好东西!
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1497527
    • 提供者:寒冰
  1. crc

    0下载:
  2. 循环冗余校验,crc_16,主要运用在数字通信系统。用verilog HDL编写
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:819
    • 提供者:宋子奇
  1. Viterbi

    0下载:
  2. 卷积码(2,1,6),完整的工程文件,已经调试通过
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:47832
    • 提供者:jishanyi
  1. Xilinxopensourcecode

    0下载:
  2. xilinx公司的开放的源码,很有参考价值,其中有ddl,fifo控制等。
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:12140
    • 提供者:杨奋燕
« 12 3 4 5 6 7 8 9 10 ... 34 »
搜珍网 www.dssz.com