CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - RISC

搜索资源列表

  1. RISC Package

    0下载:
  2. C++写的 转换RISC_SPM 代码成hex code,从而使得RISC_SPM可以使用,代码及说明均在压缩包内-write C code conversion RISC_SPM into hex code, thus enabling RISC_SPM can use code and explanations were compressed within
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:116378
    • 提供者:c.li
  1. PDFFILES

    0下载:
  2. 基于ARM7TDMI的SoC片内AC97模块和片外CODEC-UCB1400,采用ITU-T的G.721算法设计语音处理系统 提出一种基于低端RISC核的语音系统设计方案。该方案结合SoC的片内eSRAM模块进行性能优化;通过在流片后的实际样机上验证,编码速率为19.88 KB/s,解码速率为22.68 KB/s,达到了语音实时性要求。-ARM7TDMI-based SoC chip AC97 module and off-chip CODEC-mated 00. using the ITU-T
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:981125
    • 提供者:李瑶
  1. antiword-0.37.tar

    0下载:
  2. A free MS Word reader for Linux and RISC OS. Antiword converts the files from Word 2, 6, 7, 97, 2000 and 2002 to text and postscr ipt. There are ports to BeOS, OS/2, MacOS X, VMS, DOS and others-A free MS Word reader for Linux and RISC OS. A ntiword
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:317884
    • 提供者:nico zhu
  1. minirisc.tar

    0下载:
  2. verilog code .descrip the risc cpu.download from opencores.org
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:74434
    • 提供者:刘科麟
  1. exercise1

    1下载:
  2. 在软件MAX+plus II环境中,设计了一台RISC模型机,具有以下功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:938273
    • 提供者:陈自分
  1. RISC模型机

    0下载:
  2. 设计一台RISC模型机,要求具有以下功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。
  3. 所属分类:其它

  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. processor.tar

    0下载:
  2. i need of vhdl code for 32-bit risc processor
  3. 所属分类:Editor

    • 发布日期:2017-04-07
    • 文件大小:48589
    • 提供者:ganesh
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. cpudesheji

    0下载:
  2. CPUname是RISC处理器,采用普林斯顿体系结构,CPU与数据存储器间的通信使用Load/Store指令实现,数据存储采取统一的32位字长格式,32位定长指令,地址指令格式。使用专用数据通路结构,四级流水线,分为取指及译码,取数,运算,回写四步,拥有相关专用通路以解决数据相关问题,对跳转指令应用分支预测技术,使其不影响流水。-CPUname is a RISC processor, using the Princeton architecture, CPU and data memory,
  3. 所属分类:assembly language

    • 发布日期:2017-05-26
    • 文件大小:8875264
    • 提供者:张晓风
  1. RISC32bitwithVHDL

    0下载:
  2. 一个VHDL写的32位RISC程序,比较适合作为修改指令用。-32bit RISC design with VHDL language.
  3. 所属分类:MPI

    • 发布日期:2017-04-06
    • 文件大小:20376
    • 提供者:DYP
  1. ARM

    0下载:
  2. 文档基于一个余从未见过的操作系统 RISC OS,但基本的东西如指令集是跨越厂商和平台的,汇编格式等差异应当不是大问题。余从中选译了指令集部分,没有选取依赖于特定硬件和工具的内容-I have never seen a document based on an operating system, RISC OS, but the basic things such as instruction set across vendors and platforms, the compilation o
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:147539
    • 提供者:Ryan
  1. ARM-instruction

    0下载:
  2. ARM指令集,但是它和现在的asm语言有所不同,所以不能吧他划为asm中,但是上面没有这个分类-A instruction named ARM ,which would be used on RISC.this document contains a set of those instructions!
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:205191
    • 提供者:狄建彬
  1. ThreadX_Win32

    0下载:
  2. hreadx实时嵌入式操作系统源代码,ARM移植. threadx是一个很好的多任务实时嵌入式操作系统-ThreadX Library for ARM RISC microprocessor. ThreadX is a high performance RTOS that is wildly used in industrail world-wide.-hreadx real-time embedded operating system source code, ARM transplantat
  3. 所属分类:OS Develop

    • 发布日期:2017-11-14
    • 文件大小:2704152
    • 提供者:Lee
  1. 16-CISC-CPU-design

    0下载:
  2. 16位精简指令集的CPU设计,有完整的步骤和原程序可供学习-16-bit RISC CPU design, complete steps and the original program for learning
  3. 所属分类:source in ebook

    • 发布日期:2017-04-05
    • 文件大小:699234
    • 提供者:何宗苗
  1. Chapter-13

    0下载:
  2. 13.2 RISC-CPU设计  13.3 RISC-CPU Testbench设计-13.2 RISC-CPU design 13.3 RISC-CPU Testbench Design
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:445848
    • 提供者:shixiaodong
  1. ddca2e-hdl

    0下载:
  2. vhdl mips risc computer architecture-vhdl mips risc
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:38792
    • 提供者:hf
  1. RISC-CPU-

    0下载:
  2. 用VHDL语言实现32位CPU的各种运算功能,熟悉32位CPU各模块的工作原理,熟悉流水线数据通路和控制单元的工作原理从而熟悉CPU的工作机理。-Mac circuit realization
  3. 所属分类:OS Develop

    • 发布日期:2017-05-29
    • 文件大小:11710264
    • 提供者:卓丽媛
  1. AVR-Codes

    0下载:
  2. C based AVR microcontroller codes. can be complied using keil micovision.AVR (advanced virtual risc)
  3. 所属分类:assembly language

    • 发布日期:2017-04-23
    • 文件大小:142701
    • 提供者:curado
  1. RISC-V_simulator

    1下载:
  2. RISC-V指令集模拟器,可用于运行RISC-V源码。-RISC-V instruction set simulator.
  3. 所属分类:MiddleWare

    • 发布日期:2017-12-11
    • 文件大小:2205047
    • 提供者:BaiDi
« 12 »
搜珍网 www.dssz.com