CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - VHDL LCD

搜索资源列表

  1. some-usful-vhdl-source-code

    0下载:
  2. 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1278750
    • 提供者:雨风
  1. VHDL LCD 控制模块 VHDL 代码

    1下载:
  2. VHDL LCD 控制模块 VHDL 代码 ,金一倍EDA2000试验箱 试验七VHDL源
  3. 所属分类:其它

    • 发布日期:2009-07-29
    • 文件大小:616131
    • 提供者:laobi1
  1. DE2.rar

    0下载:
  2. 使用 DE2板制作的多功能数字钟,含有选择功能,秒表,电子表,闹钟,用7-segment LED液晶显示,可以通过LCD看当时状态 附有仿真波形,-Clk_Div,- Mode_Select,-Watch,-stop_watch,-Lcd_Module,-Total_Out source code,Simulation waveform
  3. 所属分类:assembly language

    • 发布日期:2017-05-14
    • 文件大小:3694635
    • 提供者:赵香君
  1. LCD_VHDL

    0下载:
  2. 液晶模块输出VHDL程序 程序实现的功能是标准的16×2字符型液晶模块上显示字符串-LCD module output VHDL procedures to achieve the function of the procedure is a standard 16 × 2 character LCD module to display the string
  3. 所属分类:source in ebook

    • 发布日期:2017-03-26
    • 文件大小:436274
    • 提供者:zl.yin
  1. LCD

    0下载:
  2. LCD显示实验。要求熟悉LCD显示的驱动原理,在实验板的LCD显示屏上显示“FPGA”,并且尝试任意字符的显示方法,动态显示的设置。-LCD display experiment. Requirements are familiar with LCD display drive principle, the experiment LCD panels display FPGA , and try any of the characters display methods, dynamic di
  3. 所属分类:Compiler program

    • 发布日期:2017-03-26
    • 文件大小:4971
    • 提供者:宁冰旭
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. VHDLofcontrolLCD

    0下载:
  2. VHDL for LCD control -VHDL for LCD contral
  3. 所属分类:Compiler program

    • 发布日期:2017-04-14
    • 文件大小:4525
    • 提供者:seeyouppp
  1. VHDL_exp

    0下载:
  2. VHDL程序包括IIC和PS2,LCD,USB-VHDL program includes IIC and PS2, the LCD, USB, etc.
  3. 所属分类:source in ebook

    • 发布日期:2017-05-23
    • 文件大小:7214290
    • 提供者:雷涛
  1. lcdtest2

    0下载:
  2. 很不错的VHDL;是啊啊;我觉得这个文件关于LCD的显示有所帮助;-Very good VHDL yes ah ah I think this help file on the LCD display
  3. 所属分类:MiddleWare

    • 发布日期:2017-05-16
    • 文件大小:4534777
    • 提供者:魏莆翀
  1. 8.3-LCD

    0下载:
  2. LCD控制VHDL程序与仿真 功能:FGAD驱动LCD显示中文字符“年”-LCD control procedures and VHDL simulation the: FGAD drive LCD display Chinese characters " years"
  3. 所属分类:MPI

    • 发布日期:2017-11-30
    • 文件大小:4792
    • 提供者:jack
  1. tft_lcd

    0下载:
  2. 7segment, tft-Lcd vhdl code with fpga Kit quartus program
  3. 所属分类:ELanguage

    • 发布日期:2017-04-13
    • 文件大小:2387
    • 提供者:ahn sein
搜珍网 www.dssz.com