CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - VerilogHDL

搜索资源列表

  1. X-HDL3.2.52

    1下载:
  2. VHDL与VerilogHDL语言之间相互转换
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:3959263
    • 提供者:
  1. VerilogHDL

    0下载:
  2. VerilogHDL的基本知识,初学者可以通过此来学习这门语言,能够很好的透过实例来理解该语言的功能-VerilogHDL the basic knowledge, beginners can learn from this to the language, can be a very good example to understand through the language features
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:4169936
    • 提供者:lanyixia
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. ddr_contrl

    0下载:
  2. DDR controller source code and test bench in VerilogHDL. It is very useful to develop DDR project.-DDR controller source code and test bench in VerilogHDL.
  3. 所属分类:Disk Tools

    • 发布日期:2017-03-29
    • 文件大小:3994
    • 提供者:leos
  1. MANCHESTER_DECODER

    0下载:
  2. 射频识别防碰撞算法,用veriloghdl编写。-RFID anti-collision algorithm
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:1166
    • 提供者:洪海亮
  1. lfsr

    0下载:
  2. 用VerilogHDL编写的lfsr移位寄存器,可以综合。-Lfsr prepared with VerilogHDL shift register, can be summarized.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:135173
    • 提供者:signalscut
  1. spi_verilog

    0下载:
  2. spi接口的verilogHDL编码,用于fpga与单片机的spi总线通讯-spi interface verilogHDL coding
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:113259
    • 提供者:尚林
  1. uart

    1下载:
  2. 基于verilogHDL实现的UART收发,带FIFO缓存。-UART transceiver, with a FIFO buffer.
  3. 所属分类:MiddleWare

    • 发布日期:2016-05-18
    • 文件大小:331776
    • 提供者:张三
  1. IEEE_Verilog_2001

    0下载:
  2. IEEE 1364-2001 VerilogHDL IEEE 1364-2001 VerilogHDL
  3. 所属分类:source in ebook

    • 发布日期:2017-05-10
    • 文件大小:2259866
    • 提供者:陈华峰
  1. Reset

    0下载:
  2. 基于verilogHDL的异步复位,同步释放电路模块文件-Asynchronous reset, synchronous release circuit
  3. 所属分类:MPI

    • 发布日期:2017-04-12
    • 文件大小:999
    • 提供者:lxn
  1. ADconversion

    0下载:
  2. Veriloghdl 代码使用ADC0809来进行ad转换,使用verilog hdl程序来进行ad转化-Veriloghdl ad code uses ADC0809 to convert, using the verilog hdl program to ad conversion
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:10681
    • 提供者:朱宣同
  1. APB_Servo_code_final

    0下载:
  2. test code by verilogHDL. SERVO MOTER operation code at FPGA. AHB and APB BUS Architecture.
  3. 所属分类:Compiler program

搜珍网 www.dssz.com