CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - bcd verilog

搜索资源列表

  1. counter

    0下载:
  2. This is 2-BCD numbers Counter on board Altera DE2 Code Verilog HDL (You must import DE2_pin_assignments.csv to use this code)
  3. 所属分类:LabView

    • 发布日期:2014-04-20
    • 文件大小:460800
    • 提供者:nitro
  1. BCD_ok-BCD

    0下载:
  2. Verilog 4位计时器,可以在CPLD开发板上成功运行-Verilog CPLD FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-05
    • 文件大小:214191
    • 提供者:猎狐
  1. wb_i2c.tar

    0下载:
  2. Verilog code to change BCD format to Binary format-Verilog code to change BCD format to Binary format
  3. 所属分类:Compiler program

    • 发布日期:2017-04-12
    • 文件大小:1093
    • 提供者:ejacerov
  1. BCDadder

    0下载:
  2. cource code for BCD adder in verilog language
  3. 所属分类:书籍源码

    • 发布日期:2017-12-22
    • 文件大小:8192
    • 提供者:zebl
  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion, 7-segment decoder, 8-bit dat
  3. 所属分类:汇编语言

    • 发布日期:2020-07-31
    • 文件大小:18432
    • 提供者:MMK1
搜珍网 www.dssz.com