CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - cordic vhdl

搜索资源列表

  1. FinalCodelast

    0下载:
  2. last cordic for immplemantaion of cordic with vhdl language it has testbench
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-26
    • 文件大小:8584
    • 提供者:akhlaghi
  1. Digital-signal-process-of-PFGA

    0下载:
  2. 数字信号处理 包括滤波器IIR FIR CORDIC的FPGA实现 资料中是VHDL语言 相应的配套包verilog程序-Digital signal processing includes a filter IIR FIR CORDIC on FPGA is VHDL language data corresponding supporting package verilog program
  3. 所属分类:source in ebook

    • 发布日期:2017-05-28
    • 文件大小:10709564
    • 提供者:liyinghui
搜珍网 www.dssz.com