CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 元数据

搜索资源列表

  1. 03

    0下载:
  2. 企业元数据管理生成修改 企业元数据管理生成修改
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2265
    • 提供者:ww
  1. ms51_RS-485_mutipc

    0下载:
  2. RS-485串行总线接口标准以差分平衡方式传输信号,具有很强的抗共模干扰的能力,允许一对双绞线上一个发送器驱动多个负载设备。工业现场控制系统中一般都采用该总线标准进行数据传输,而且一般采用RS-485串行总线接口标准的系统都使用8044芯片作为通信控制器或各分机的CPU。8044芯片内部集成了SDLC,HDLC等通信协议,并且集成了相应的硬件电路,通过硬件电路和标准协议的配合,使系统的通讯准确、可靠、快速。8044在市场上日渐稀少,虽然有8344可替代,但几百元的价位与普通单片机几元至几十元的价
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:40721
    • 提供者:Jack
  1. GPS

    1下载:
  2. :研究了全球定位系统接收机的实现原理,给出了简单的数学模型, 并针对GPS接收机的关键模块,包括码元的捕获和跟踪、载波跟踪、数据提取等,利 用Matlab进行了仿真,给出了仿真结果。这对于关键模块的工程实现具有一定的参 考价值。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:199427
    • 提供者:luoluo
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 进馆电子档案元数据规范

    1下载:
  2. 进馆电子档案元数据规范
  3. 所属分类:文件格式

    • 发布日期:2011-04-28
    • 文件大小:25600
    • 提供者:disey_2008
  1. Cypress CY8C34 family Chinese datasheet

    0下载:
  2. PSoC®3 具有独特的可配置模块阵列,是真正的系统级解决方案,能够通过单个芯片提供 MCU、存储器、模拟和数字外设功能。 CY8C34 系列提供了一种新型的信号采集、信号处理和控制方法,并具有高精度、高带宽和高灵活性等特点。其模拟功能涵盖了从热 电偶信号(接近直流电压)到超声波信号的广泛信号范围。 CY8C34 系列可以处理数十个数据采集通道以及每个 GPIO 引脚上的模拟 输入。 CY8C34 系列还是一个高性能的可配置数字系统,具有 USB、多主控 I2C 以及 CAN 等接口。除了
  3. 所属分类:文档资料

  1. kingdee

    0下载:
  2. 2008年培训针对的版本: 金蝶EAS BOS V540 培训内容:《金蝶EAS BOS标准培训课程》 集成开发环境准备 课程案例分析 业务建模工具的介绍及应用 工作流的概念及设计 数据转换流程设计(BOTP) 报表及套打设计 预警平台 权限设置 元数据介绍 设计成果的发布部署 Kscr ipt脚本介绍 认证考试(笔试) 培训天数:5天 培训方式:教学与现场练习相结合的方式 认证考试 培训结束后进行认证考试(笔试)
  3. 所属分类:Project Manage

    • 发布日期:2017-06-22
    • 文件大小:42984729
    • 提供者:xxp3369@126.com
  1. FPGA_4FFT

    0下载:
  2. 针对高速数字信号处理的要求,提出用FPGA 实现基- 4FFT 算法,并对其整体结构、蝶形单 元进行了分析. 采用蝶算单元输入并行结构和同址运算,能同时提供蝶形运算所需的4 个操作 数,具有最大的数据并行性,能提高处理速度 按照旋转因子存放规则,蝶形运算所需的3 个旋转 因子地址相同,且寻址方式简单 输出采取与输入相似的存储器 运算单元同时采用3 个乘法的 复数运算算法来实现.-In accordance with the requirements of high speed d
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:360629
    • 提供者:王晓
  1. ruoshui20090328youxiushuoshilunwenguanyuVC

    0下载:
  2. 该文章《基于VC++的小型变电站监控组态软件的设计与开发》是优秀硕士学位论文。 该论文在深入分析工业变电站自动化系统的基础上,根据工业变电站对监控软件的性能要求和功能要求,提出了一种工业变电站监控软件功能模块设计方案,并基于VC++6.0设计实现了通信管理模块、实时数据库模块、图形界面组态模块三个主要的功能模块。 通信管理模块利用多线程技术实现了串口通信和网络通信,从而实现了数据采集和解析的功能。数据库模块从实时数据库的系统结构分析、实时数据库的特点、实时数据库的数据管理以及实时数据库的
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:757043
    • 提供者:若水
  1. MetadataQuery

    0下载:
  2. 在Asp.net中进行Webgis的设计,这是其中的一部分,进行元数据查询的主要步骤。- MetadataQuery
  3. 所属分类:Document

    • 发布日期:2017-04-13
    • 文件大小:1648
    • 提供者:白痴昌
  1. ERStudio

    0下载:
  2. ER/Studio Data Architect (以前称为ER/Studio),是一种可视化的建模应用程序,除了可以设计与构建与平台相关的物理数据库以外,还可以分析与设计与平台无关的逻辑数据架构。 ER/Studio Data Architect 的强大的,多层式设计环境非常有助于数据库管理员,开发人员和数据架构师建立与维护庞大复杂的数据库应用程序,也有助于跨企业的元数据的巩固、报告和重用。-ER/Studio Data Architect (formerly known as ER/St
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1949676
    • 提供者:Mike
  1. sdfdsf

    0下载:
  2. 注解驱动的缓存 Spring Modules还支持使用代码级元数据声明缓存-Annotation-driven Spring Modules Cache also supports the use of code-level metadata statement cache
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:39463
    • 提供者:YY
  1. Data-warehouse

    0下载:
  2. 本书论述在设计和建造数据仓库中涉及的所有主要问题,论述分析型环境(决策支持系统环境)以及在这种环境中的数据构造。主要内容包括数据仓库的设计与建造步骤,传统系统到数据仓库的迁移,数据仓库的数据粒度、数据分割、元数据管理、外部数据与非结构化数据,分布式数据仓库、高级管理人员信息系统和数据仓库的设计评审等。 本书主要是面向数据仓库的设计、开发和管理人员,以及构造和使用现代信息系统的人员,也适于信息处理方面的高校师生和从事传统数据库系统技术工作的人阅读。-This book discusses the
  3. 所属分类:Project Design

    • 发布日期:2017-05-22
    • 文件大小:6981075
    • 提供者:zjh
  1. XML-in-Spatial-Data

    0下载:
  2. 结合XML 技术描述的元数据设计空间数据的共享管理平台, 功能包含数据的检索、基于空间范围和关键字的查询、元数据查看、空间数据集的浏览等功能, 实现空间数据的基本交换中心( 站) , 为空间数据的高级别共享提供一种可行的实现途径。-Realization and application of spatial data share and management platform based on XML metadata discr iption
  3. 所属分类:Development Research

    • 发布日期:2017-04-05
    • 文件大小:161766
    • 提供者:Amanda
  1. C-Sharp-language-lecture-series

    0下载:
  2. C#语言系列讲座 我们知道,C#编译后的PE文件主要由IL代码和元数据组成,元数据为.NET组件提供了丰富的自描述特性,它使得我们可以在代码运行时获知组件中的类型等重要的信息。在C#中这是通过一种称做映射(Reflection)的机制来完成的。先看一个示例,在此首先创建一个简单的类型:-C# language lecture series, we know that the PE file in C# compiler mainly by the IL code and meta data,
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:187488
    • 提供者:mlfg
  1. 基于核函数主元分析的机械故障诊断方法

    0下载:
  2. 提出基于核函数主元分析的机械故障诊断方法, 它保留主元分析的优点并具有处理非线性的能力。该方法通过核函数映射将非线性问题转换成高维的线性特征空间, 然后对高维空间中的映射数据作主元分析,提取其非线性特征, 对机械故障模式进行识别。并与主元分析方法进行对比分析, 实验结果表明核函数主元分析法非常有效。-Proposed mechanical fault diagnosis method based on Kernel Principal Component Analysis, it retains
  3. 所属分类:文件格式

    • 发布日期:2017-11-04
    • 文件大小:312645
    • 提供者:张力
  1. Data-Integration-in-Virtual

    0下载:
  2. 论文详细论述了三层结构方法在本课题上的具体应用形式和实现过 程,并对实现中遇到的一些问题给出了适合本课题的解决方案和架构改进 方法,比如用户接口层接口协议的设计,中介层的元数据信息的管理策略, 这其中主要包括元数据信息格式的统一策略,元数据信息的提取和更新策 略等"还有中介层数据访问过程中的结果数据暂存与返回策略,底层数据 源层的数据库连接策略等"这些策略对于以后的相关领域研究都有一定的 参考价值" -The paper discussed in detail the
  3. 所属分类:Project Design

    • 发布日期:2017-11-14
    • 文件大小:3623897
    • 提供者:lrp
  1. Read_goce_level1b

    0下载:
  2. 对ESA网站下载的GOCElevel_1b的EGG_NOM_1b数据进行提取,得到重力梯度观测值与四元数数据。(The GOCElevel_1b data of EGG_NOM_1b downloaded from the ESA web site are extracted, and gravity gradient observations and four yuan data are obtained.)
  3. 所属分类:文章/文档

  1. cell

    0下载:
  2. 从元胞数组中提取部分想要的数据,并进行简单的求和、求平均(Extract part of the desired data from cellular array, and make simple summation and average.)
  3. 所属分类:文章/文档

  1. 用元胞自动机模拟超临界水中金属的去垢氧化行为

    0下载:
  2. 结构材料在超临界水中的腐蚀和氧化是核工业中的一个重要问题。提出了一种去除水垢的元胞自动机模型,研究了在24.8 MPa和600℃条件下,Inconel 625在超临界水中连续氧化层的形成过程。研究了不同条件下氧化反应行为、除垢效果、氧离子与金属离子的输运比对腐蚀氧化过程的影响。在介观水平上模拟了尖晶石的形成过程。利用超临界水回线的实验数据对所建立的模型进行了映射。
  3. 所属分类:报告论文

« 12 3 »
搜珍网 www.dssz.com