CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 分频器 vhdl

搜索资源列表

  1. verilog50%

    0下载:
  2. 本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。 -This paper introduces a 50% duty cycle three dividers of the three design methods, and gives the graphic design, VHDL design, compile results and the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:187592
    • 提供者:li
  1. fenpinqi

    0下载:
  2. 《分频器设计》绝对好用的EDA实验程序!已经通过测试。VHDL语言编写-"Frequency Divider" absolutely good for EDA experimental procedure! Already passed the test. VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1185
    • 提供者:潘晓峰
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:665385
    • 提供者:lzh
  1. 使用VHDL进行分频器设计

    0下载:
  2. 详细介绍了利用vhdl实现小数整数分数及不通占空比分频的方法
  3. 所属分类:其它文档

  1. 分频器VHDL语言讲解.doc

    0下载:
  2. 分频器VHDL语言讲解
  3. 所属分类:文档资料

  1. encoder

    0下载:
  2. 此为介绍一光电编码器的学术论文,采用VHDL语言编写,介绍了4分频的实现。-This is the descr iption of the papers of a photoelectric encoder using VHDL language, introduced a 4-band implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:108535
    • 提供者:name
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. SWQJQ922

    0下载:
  2. VHDL语言 初始入门级教程分频器例程,50M分频为1S-The VHDL language initial entry-level tutorial
  3. 所属分类:software engineering

    • 发布日期:2017-12-03
    • 文件大小:183921
    • 提供者:
  1. EDA

    0下载:
  2. 基于 CPLD/FPGA用原理图和VHDL语言混合设计实现了一多功能通用分频器。-CPLD/FPGA-based mixed schematic and VHDL language design and implementation of a multi-function universal divider.
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:33383
    • 提供者:雨桐
  1. cpld

    0下载:
  2. CPLD与电子CAD报告 VHDL中的并行语句、进程 信号、变量、顺序语句 分频器、计数器、译码器、状态机 数字钟综合设计-CPLD and VHDL electronic CAD report in parallel statement, the process signals, variables, sequential statements divider, counter, decoder, an integrated digital clock state machine des
  3. 所属分类:Communication

    • 发布日期:2017-05-15
    • 文件大小:3920331
    • 提供者:何源
  1. VHDL

    0下载:
  2. 使用 VHDL 进行分频器设计 使用 VHDL 进行分频器设计-使用 VHDL 进行分频器设计使用 VHDL 进行分频器设计
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:320762
    • 提供者:teng chengwang
  1. VHDL二路洗衣机系统设计

    0下载:
  2. 洗衣机的系统设计,VHDL语言编写,采用VHDL模块化的设计方法来进行洗衣机控制器的设计,即自顶向下,从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块.最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本控制器基本功能描述洗衣机控制器可工作于五种模式下:单洗涤、单漂洗、单脱水、漂洗十脱水、洗涤十漂洗+脱水,可以使洗衣机控制器工作在任意一种模式,并显示出洗衣机的工作状态和剩余工作时间,在剩余时间结束后有报警声提示使用者,并且可实现暂停洗衣和继续的功能,此外,加入附加功能,可自选
  3. 所属分类:文档资料

搜珍网 www.dssz.com