CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 功能仿真

搜索资源列表

  1. Design-and-Implementation

    0下载:
  2. 介绍了基于雷达观测的空间目标识别仿真软件的功能组成,仿真模型和实现方法。讨论了计算机动态RCS需要考虑的坐标转换问题。-It is necessary t0 know which direction the electromagnetic wave is lannehed When calculating target’s RCS Simulation is a better way to analyze this problem of space object electromagneti
  3. 所属分类:Project Design

    • 发布日期:2017-11-17
    • 文件大小:235907
    • 提供者:吴爱龙
  1. Digital-frequency-meter

    0下载:
  2. 用VHDL语言完成数字频率计的设计及仿真。频率测量范围:1~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,且用LED(发光二极管)来表示所显示单位,我们这里定义亮绿灯表示以Hz为单位,亮红灯表示以KHz为单位。具有自动校验和测量两种功能。具有超量程报警功能。-Digital frequency meter
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:104119
    • 提供者:cc
  1. MATLAB

    0下载:
  2. MATLAB电机仿真精华50例,功能强大,面面俱到-MATLAB simulation of the essence 50 cases of motor, powerful, all-embracing。
  3. 所属分类:software engineering

    • 发布日期:2017-05-26
    • 文件大小:9311512
    • 提供者:suyutian
  1. DMAVR-M16

    0下载:
  2. AVR 单片机是近 10 年来发展起来的新型的、基于增强型 RISC 结构的单片机 R 在运行速度,存储器空间,内部功能模块的集成化,以串行接口为主的外 扩展 , 适合使用高级语言编程 , 以及在开发技术和仿真调试方面都比 MCS- 核的单片机要先进。-avr mcu
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:41476
    • 提供者:vic luo
  1. ICECS08_Final

    0下载:
  2. 伪随机序列产生器,利用GOLLON 级联F-FCSR产生伪随机序列,FPGA实现功能,仿真,结果分析-Pseudo-random sequence generator using GOLLON cascade F-FCSR generate pseudo-random sequence, FPGA implementation function, simulation results analysis
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:241609
    • 提供者:李辛
  1. 0999

    0下载:
  2. 卡尔曼滤波是一种数据处理方法,它是一种线性最小方差无偏估计准则,基于系统 状态估计和当前观测,通过引入状态空间而获得的新的状态估计.本篇论文陈述了卡尔曼滤 波的基本思路和算法;并通过仿真,显示卡尔曼滤波的功能,以及如何用它来跟踪方向确定、速度恒定的飞行器。-Kalman filter is a data processing method, which is a linear minimum variance unbiased estimation criteria, based on
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:170602
    • 提供者:lili
  1. single_phase_grid_pv

    0下载:
  2. 单相逆变器的仿真模型,主要实现光伏逆变器的仿真功能,-Single-phase inverter simulation model
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:27044
    • 提供者:吴浪
  1. achieve

    0下载:
  2. 通过编写C语言,在MATLAB上实现路由器仿真,实现路由器的功能。-Written by C language, simulation on MATLAB implementation routers, realize the function of the router.
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:4317
    • 提供者:梦郎
  1. STKX

    0下载:
  2. 基于STKX组件的视景仿真关键技术 : :: :在不启动卫星工具包(STK)的基础上,将 STK应用于分布式视景仿真,通过插入 STKX组件和调用组件应用程序编程接口函数完 成 STK 的功能调用,解决 STKX 组件与运行支撑环境的集成问题。采用进程通信中的共享内存和事件技术,以 S 函数模块为中间件,实现 Simulink 模型数据的实时获取,获得数值仿真结果。基于 STKX 的导弹攻防视景仿真实验表明,该方法无需启动 STK 即可应用于分布式视景仿真。
  3. 所属分类:Software Testing

    • 发布日期:2017-03-28
    • 文件大小:268431
    • 提供者:chioptical
  1. Getting-Started-with-MATLAB

    0下载:
  2. 本书以MATLAB 7为版本,由浅入深地介绍MATLAB的工作环境、基本语法、数值运算、符号运算、二维和三维图像创建与编辑、高级图形图像处理、句柄图形、图形用户操作接口(GUI)、程序设计与调试、M文件的使用、MATLAB编译器、Simulink交互式仿真集成环境的应用,以及MATLAB的外围功能和应用程序接口等内容;并通过MATLAB在高等数学、信号处理及数字通信、数字图像处理方面的应用,介绍MATLAB在实际中的应用。-Book MATLAB 7 to version, it gradual
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3261149
    • 提供者:liangchaojia
  1. -MPPT-function

    0下载:
  2. 带有MPPT功能的光伏阵列Matlab通用仿真模型-PV array with MPPT function Matlab generic simulation model
  3. 所属分类:Document

    • 发布日期:2017-05-02
    • 文件大小:853303
    • 提供者:刘久
  1. vcPP6.0

    0下载:
  2. 仿真火车站的站场图,可以办理进路,显示信号机,单操,单锁道岔等功能-Simulation Station station map, you can handle into the road.
  3. 所属分类:software engineering

    • 发布日期:2017-05-24
    • 文件大小:8082869
    • 提供者:张瑞
  1. The-Matlab-simulation--

    0下载:
  2. 电力谐波带来了严重的危害,有源滤波器是抑制电力谐波的一种有效手段。在Matlab 仿真环境中搭建了有 源滤波器的系统模型,并对其进行了仿真。仿真结果表明,各仿真模块能够完成各自的功能,整个系统的滤波效果非 常好。因而,该仿真模型能对软、硬件的设计起到重要的指导作用-Power Harmonics brought serious harm, active filter is an effective means of suppressing power harmonics. In the
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:365986
    • 提供者:majing
  1. oushihaiming

    0下载:
  2. 偶式海明校验原理仿真 包括c++实现的单机功能,通信功能以及java实现的完整功能-Even style Hamming parity principle simulation including c++ implementation of the stand-alone functions, communication functions and achieve full functionality of java
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:887960
    • 提供者:闫峻
  1. ZHUIzhu-moxing

    0下载:
  2. 追逐问题是现实生活中的常见问题,本文就三人绕正三角形的追逐问题建立起了两个数学模型:一个充分应用运动的周期性首先给出了三人共边的充要条件,然后直接给出在一个周期内三人共边的次数及起止时刻,另一个则利用初等数论的方法给出了三人共边的另一个充要条件 利用matlab长于计算和强大的绘图功能,本文分别给出了求解两个模型的matlab程序,通过动画仿真演示三人绕正三角形的追逐模型,并给出三者共边的时间起止点和共边的次数。 更多还原-The problem is that in real life the
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1883361
    • 提供者:王斌
  1. jiaotongdeng

    0下载:
  2. 简单的交通灯设计,分为东西南北四个方向,功能强大,仿真正确-Simple traffic light design, divided into four directions East and West, a powerful simulation correctly
  3. 所属分类:Software Testing

    • 发布日期:2017-04-24
    • 文件大小:35721
    • 提供者:qwer
  1. matlab

    0下载:
  2. MATLAB是一套功能十分强大的工程计算及数据分析软件,具有友好的可视化编程界面及接近数学表达式的自然化语言。针对信号与系统中傅里叶变换具有抽象度高、难于理解的特点,利用MATLAB强大的信号处理功能、阶跃响应,微分方程,卷积定理的内容,通过MATLAB 程序实现。本文介绍了MATLAB在信号与系统仿真中的应用,该软件在通信电子类课程中应用越来越广泛,通过几个典型实例来重点研究。 关键词:MATLAB;阶跃响应;微分方程;卷积;信号与系统;仿真 -MATLAB is a very power
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:18291
    • 提供者: 王娟
  1. mppt-gonglv

    0下载:
  2. 基于光伏模块直流物理模型,在matlab仿真环境下,开发了光伏阵列通用仿真模型.利用该模型,可以模拟任意太阳辐射强度、环境温度、光伏模块参数、光伏阵列串并联方式组合下的光伏阵列I-V特性.此外,该模型还融合了光伏阵列的最大功率跟踪(MPPT)功能,可以用于光伏发电系统和风光复合发电系统的动态仿真.-PV module DC-based physical model in matlab simulation environment, the development of generic simul
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:664681
    • 提供者:李雷
  1. ZHISHUADIANJI2

    0下载:
  2. 在分析无刷直流电机(BLDC)数学模型的基础上,提出了无刷直流电机系统仿真建模的新方法.在Matlab/Simulink中,建立独立的功能模块,如BLDC本体模块、电流滞环控制模块、速度控制模块等,再进行功能模块的有机整合,搭建无刷直流电机系统的仿真模型.为保证仿真快速性和有效性,模型采用分段线性法生成梯形波反电动势,系统采用双闭环控制:速度环采用PI控制,电流环采用滞环电流控制.仿真结果证明了该方法的有效性,同时也适用于验证其他控制算法的合理性,为实际电机控制系统的设计和调试提供了新的思路.-
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:697347
    • 提供者:李雷
  1. The-design-of-the-taximeter

    0下载:
  2. 该系统(C语言)设有五个按键,依次为单程、往返、暂停、清零、查询,通过相应的操作即可实现单程/往返模式选择、暂停计费、查询等待时间及费用、清除等功能。系统在电子软件仿真的基础上完成了硬件实物的测试和调试,达到了预设的所有功能要求,具有性能可靠、电路简单、成本低等特点。-The system (C language) has five buttons, followed by one-way, round trip, pause, clear, query, appropriate action
  3. 所属分类:Project Design

    • 发布日期:2017-05-21
    • 文件大小:6210747
    • 提供者:刘潺忆
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com