CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 阵列

搜索资源列表

  1. Maximum-Power-from-PV-Arrays

    0下载:
  2. 用于固定光伏阵列的最大功率跟踪,为了获得光伏板的最大能量-Maximum power tracking photovoltaic array for fixing, in order to obtain maximum energy photovoltaic panels
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1083303
    • 提供者:ying
  1. Reconfiguration-analysis-on-PV

    0下载:
  2. 光伏阵列有时会发生遮挡引起功率波动,采用光伏阵列的重构技术来抑制这种突然的功率增加或减少。-PV array sometimes occluded cause power fluctuations, use of a photovoltaic array reconstruction technique to suppress such a sudden increase or decrease power.
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1130366
    • 提供者:ying
  1. APESfor-MIMO

    0下载:
  2. MIMO阵列中APES算法的应用的研究。-APES for MIMO array。
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:717789
    • 提供者:Anna
  1. MIMO_DOA

    0下载:
  2. mimo阵列方向图及一些DOA方法的分析比较。-DOA OF MIMO ARRAYmimo阵列方向图及一些DOA方法的分析比较。
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:6771242
    • 提供者:Anna
  1. cs-for-array-signal-processing

    0下载:
  2. 介绍压缩采样新技术的优点,将其应用于阵列信号的处理中-Describes the advantages of sampling new compression technologies, the treatment applied to the array signals
  3. 所属分类:Development Research

    • 发布日期:2017-04-01
    • 文件大小:680585
    • 提供者:陈钦
  1. MATLAB_LED

    0下载:
  2. 讲述用MATLAB软件对LED阵列的研究与仿真的过程。-About using MATLAB software research and simulation of the LED array process.
  3. 所属分类:Development Research

    • 发布日期:2017-05-13
    • 文件大小:2646796
    • 提供者:lv
  1. gprs.gpr

    0下载:
  2. 一种自适应阵列天线波束赋形合成算法_解决很多问题-An adaptive array antenna beam forming algorithm to solve many problems _
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:151607
    • 提供者:杨颖
  1. Beamforming

    1下载:
  2. 波束赋形(Beamforming)又叫空域滤波,是一种使用传感器阵列定向发送和接收信号的信号处理技术。-Beamforming (Beamforming) also called spatial filtering, a sensor array using a directional signal processing technology to send and receive signals.
  3. 所属分类:Communication

    • 发布日期:2017-04-25
    • 文件大小:107092
    • 提供者:李伟南
  1. music

    0下载:
  2. 窄带阵列信号处理中线阵一维到达角估计music算法-Narrowband array signal processing midline array of one-dimensional DOA estimation algorithm music
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1033
    • 提供者:胡科晓
  1. 521

    0下载:
  2. 宽带信号的方位估计,非相干 包括阵列信号的产生-Doa of wideband signal
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:1548
    • 提供者:蔡国庆
  1. PV_matlab

    0下载:
  2. 关于光伏发电的两篇文章,一篇对光伏电池的通用模型进行了详细分析,一篇对带MPPT仿真的光伏阵列通用仿真模型-This paper proposes a method of modeling and simulation of photovoltaic arrays.
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1631253
    • 提供者:闇夜惊雷
  1. Infineon_DS_BGT24MTR12_en_V3_2

    1下载:
  2. 24G高集成度微波雷达芯片,一发二收,可组成阵列天线,数据处理后精度可达mm级-24 GHz transceiver MMIC with one transmitter and two receiver units • Fully integrated low phase noise VCO • Switchable prescaler with 1.5 GHz and 23 kHz output • On chip power and tempe
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1513351
    • 提供者:王广龙
  1. Antenna-and-EM-Modeling-with-MATLAB

    0下载:
  2. 天线和电磁建模与MATLAB,本书介绍了天线的基本概念,采用基于RWG边界元的矩量法对天线和天线阵列进行电磁建模,仿真分析平面使用广为流行的Matlab-Antenna and EM Modeling with MATLAB- Sergey N. Makarov
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:8288308
    • 提供者:kurt
  1. arry-signal-processing

    0下载:
  2. 阵列信号处理很好的课件,建议大家仔细浏览,收益颇丰-a good document of arry signal procesing
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:497828
    • 提供者:sutu
  1. optimal-synthesis

    0下载:
  2. 阵列综合的文章,基于凸优实现的,很好,推荐下载-A Hybrid Approach for the Optimal Synthesis of
  3. 所属分类:File Formats

    • 发布日期:2017-04-30
    • 文件大小:458235
    • 提供者:sunwen
  1. polarization-knowledge

    0下载:
  2. 极化敏感阵列的学习笔记,有助于了解极化敏感阵列的相关知识-Study notes polarization sensitive array, helping to understand the polarization sensitive array of relevant knowledge
  3. 所属分类:Communication

    • 发布日期:2017-04-29
    • 文件大小:49585
    • 提供者:
  1. SA600

    0下载:
  2. SA/ICS-ZL阵列式皮带秤是我公司自主开发研制出的具有多项国家专利的高准确度、高稳定性的新型电子皮带秤。其准确度优于OIML R50中1级、GB/T 7721-2008中 0.5级秤,准确度误差不大于0.2% ,可作为商贸结算用秤。-SA/ICS-ZL array belt scales are highly accurate with a number of national patents developed by our company developed the high stabi
  3. 所属分类:技术管理

    • 发布日期:2017-05-28
    • 文件大小:10728984
    • 提供者:LAN
  1. MATLAB-array-antenna-pattern

    1下载:
  2. 阵列天线自适应旁瓣对消相关技术的研究 通过matlab实现-Research on Adaptive Array Antenna sidelobe cancellation related technologies to achieve through matlab
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:204235
    • 提供者:CZZ
  1. FPGA-adaptive-sidelobe-cancellation

    0下载:
  2. 阵列天线自适应旁瓣对消算法的研究 基于FPGA-Adaptive array antenna sidelobe cancellation algorithm in FPGA-based research
  3. 所属分类:Communication

    • 发布日期:2017-04-29
    • 文件大小:87303
    • 提供者:CZZ
  1. ad

    0下载:
  2. 下面简要介绍常用的几种类型的AD的基本原理及特点:积分型、逐次逼近型、并行比较型/串并行型、Σ-Δ调制型、电容阵列逐次比较型及压频变换型。 - Below is a brief introduction to the basic principle and characteristics of several common types of AD: integral, successive approximation type, parallel type/serial parallel t
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:1700
    • 提供者:王立
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com