CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - can vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 设计 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to design can look at the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:139501
    • 提供者:杨树茂
  1. lock.zip.zip

    0下载:
  2. 基于VHDL电子密码锁设计,数码管显示,比较有用的毕业设计,大家可以参考一下,VHDL-based design of electronic locks, digital display, more useful for the design of the graduation, we can refer to
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:156013
    • 提供者:孙晓林
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. iic_master

    0下载:
  2. it is a iic source verilog code with its testcase which can act only as master
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:3081
    • 提供者:nifrad
  1. dds

    0下载:
  2. 基于FPGA的双路可移相任意波形发生器 Altera中国大学生电子设计文章竞赛获奖作品刊登-FPGA-based dual phase shifter can be arbitrary waveform generator Altera China Undergraduate Electronic Design Contest winning entries published articles
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1696262
    • 提供者:姜兆刚
  1. VHDLclock

    0下载:
  2. 这是用VHDL语言编写的数字钟。可以设置时分秒,还可以整点报时。-This is the VHDL language with the digital clock. When every minute can be set, but also the entire point of time.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:1303
    • 提供者:Henry
  1. DM9003_EVB_BOARD

    0下载:
  2. dm9003接口电路图,直接转成PCB就可以做开发板用,无须更改-dm9003 interface circuit directly into a PCB can be done on the development board to use, no changes are needed
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:25041
    • 提供者:fke
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. VHDLpipeline

    0下载:
  2. 流水线实现圣经,可以大幅度提高系统时钟指标,可以提高编程水平-Pipeline to achieve the Bible, can greatly improve the system clock indicators, can increase the level of programming
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:147363
    • 提供者:陈信
  1. encog-core-1.1.0

    0下载:
  2. VHDL制作的ann的code,希望大家可以用来作为参考-VHDL produced ann of the code, hope that can be used as a reference
  3. 所属分类:Document

    • 发布日期:2017-05-14
    • 文件大小:3530578
    • 提供者:Yaojun zhang
  1. ModelSim_example

    0下载:
  2. modelsim仿真流程,附有两个源码(vhdl),做设计例子,按步骤操作并添加源码,即可看到仿真波形输出-ModelSim simulation process, with the two source code (vhdl), to do a design example, according to these steps and add the source, you can see the simulation waveform output
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:197435
    • 提供者:tianrongcai
  1. FPGA_4FFT

    0下载:
  2. 针对高速数字信号处理的要求,提出用FPGA 实现基- 4FFT 算法,并对其整体结构、蝶形单 元进行了分析. 采用蝶算单元输入并行结构和同址运算,能同时提供蝶形运算所需的4 个操作 数,具有最大的数据并行性,能提高处理速度 按照旋转因子存放规则,蝶形运算所需的3 个旋转 因子地址相同,且寻址方式简单 输出采取与输入相似的存储器 运算单元同时采用3 个乘法的 复数运算算法来实现.-In accordance with the requirements of high speed d
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:360629
    • 提供者:王晓
  1. mod

    0下载:
  2. explain all the modulation techniques so u can understand them very clearly
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:402463
    • 提供者:qais
  1. DesignofCANRTLlevel

    0下载:
  2. CAN RTL级设计,详细介绍了符合CAN协议的芯片级设计。-Design of CAN RTL level
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:489251
    • 提供者:xu
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. VHDL

    0下载:
  2. 电子密码锁设计,可以改为其他原理相似的设计,比如和汽车安全系统相关的毕业设计-The design of electronic locks can be replaced by other theories of similar design, and automotive safety systems such as the graduation project related
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:255363
    • 提供者:孙晓林
  1. CPLDVHDL.ZIP

    1下载:
  2. 基于CPLD和VHDL的电子密码锁设计,毕业论文的PDF格式,可以参考一下-Based on CPLD and VHDL design of electronic locks, Thesis of the PDF format, you can refer to
  3. 所属分类:Project Design

    • 发布日期:2015-06-17
    • 文件大小:193304
    • 提供者:孙晓林
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. VHDL交通灯

    0下载:
  2. 利用VHDL写的交通等程序,代码在文档中,可以实现十字交通灯的各种状态模拟(Using the traffic program written by VHDL, the code can be used to simulate the various states of the cross traffic lights in the document.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-19
    • 文件大小:106496
    • 提供者:xderrr
« 12 3 4 5 6 »
搜珍网 www.dssz.com