CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - exchange

搜索资源列表

  1. PITE3980

    0下载:
  2. PITE3980蓄电池放电仪监测系统管理说明书,整个系统完全解释,欢迎下载交流。-PITE3980 battery discharge was monitored system management manual, the entire system is fully explained, please download the exchange.
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2835890
    • 提供者:烟雨楼
  1. EV

    0下载:
  2. 介绍了当前电动汽车充电站建设的3 种典型模式,在此基础之上设计了一种电动汽车充电站监控系统。该系统主要包括软件统一支撑平台、充电监控、配电监控、烟雾报警监视、电池维护监控、快速更换设备监控、数据交换和转发等功能。并介绍了该系统的应用情况,指出了该系统的发展方向。-Describes the current electric vehicle charging station building three kinds of typical patterns, in this based on the
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:316172
    • 提供者:daizhiqiang
  1. changyongceliangfangfa

    0下载:
  2. 常用的测量方法,对测试与调试电路很有帮助,欢迎下载交流。-Common method of measurement, useful for testing and debugging circuits, please download the exchange.
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:23713
    • 提供者:烟雨楼
  1. pinlvjizheji

    0下载:
  2. 频率及设计很经典的介绍,,并从频率几个方面做了详细的说明,欢迎下载交流-Frequency and design of classic descr iption, and from the frequency of several aspects of the detailed descr iption, please download the exchange
  3. 所属分类:File Formats

    • 发布日期:2017-04-24
    • 文件大小:50184
    • 提供者:烟雨楼
  1. 4kWbianxieshizhinengxudianchi

    0下载:
  2. 4kw便携式蓄电池的研究,对便携式的开发具有很好的指导意义,欢迎下载交流。-4kw portable battery research on the development of portable has a good guide, welcome to download the exchange.
  3. 所属分类:Development Research

    • 发布日期:2017-03-31
    • 文件大小:154364
    • 提供者:烟雨楼
  1. BGAfengzhuangdaquan

    0下载:
  2. 电子元器件BGA类封装大全,为你减少了开发产品的时间,欢迎下载交流。-BGA type electronic component package Daquan, you reduce the development time of products, please download the exchange.
  3. 所属分类:Development Research

    • 发布日期:2017-05-02
    • 文件大小:932117
    • 提供者:烟雨楼
  1. Cinstruct

    0下载:
  2. C#教程,网上下载的,仅用于学习交流用途。-C#tutorials, online download, only for study and exchange purposes.
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1924278
    • 提供者:阿运
  1. SOJfengzhuangdaquan

    0下载:
  2. SOJ元器件封装大全,对电路设计和PCB值班很有帮助,欢迎下载交流。-SOJ components package Daquan, duty on circuit design and PCB helpful, please download the exchange.
  3. 所属分类:Development Research

    • 发布日期:2017-03-29
    • 文件大小:341927
    • 提供者:烟雨楼
  1. TCPIPVolumeagreementXiangjie

    0下载:
  2. TCP-IP详解_卷1_协议,其中有关TCP/IP的内容非常详细,来着高级技术交流群,非常难得-TCP-IP Xiangjie _ 1_ volume agreements, which the TCP/IP, the content is very detailed, to the senior technical exchange group, and very rare
  3. 所属分类:Project Design

    • 发布日期:2017-05-25
    • 文件大小:8496522
    • 提供者:rainbow
  1. 100Mbsyitaiwangshizhongshujuhuifudianlu

    0下载:
  2. 100以太网的时钟恢复电路,是涉及以太网的好资料,欢迎下载交流。-100 Ethernet clock recovery circuit, is related to Ethernet' s good information, please download the exchange.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:202070
    • 提供者:柳莺
  1. soft1jiancedanyuahn

    0下载:
  2. 电池在线监测仪检测单元,这是我在工作中编写而成的,已经成功应用于市场,欢迎下载交流。-Battery-line monitoring instrument detection unit, which is written in my work, and has been successfully applied to the market, please download the exchange.
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:445982
    • 提供者:柳莺
  1. Universal_Serial_Bus_Specification

    0下载:
  2. 通用串行总线 USB 是 PC 体系中的一套全新的工业标准 它支持单个主机与多个外设同时进行数据交换.论文首先会介绍 USB 的体系结构和特点 包括总线特征 协议定义传输方式和电源管理等等 这部分内容会使 USB 开发者和用户对USB有一个整体的认识.-Universal Serial Bus USB is the PC system set new industry standards it supports a single host and multiple peripherals to
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:991637
    • 提供者:murphy_chang
  1. the-CourseExercise-of-exchange-theory

    0下载:
  2. 交换课程设计,用软件实现各模块的连接,电话铃音等-realizing the telephone ringing and etc,based on VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:555121
    • 提供者:LI
  1. mt4-coding

    0下载:
  2. mt4编程、mt4编程→简明教程+速成基础 使用于mt4平台、智能外汇交易-mt4 programming, mt4 programming → Concise Guide+ Quick basis for use in mt4 platform, intelligent foreign exchange transactions
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:12508
    • 提供者:jamie
  1. Java

    0下载:
  2. 用于计算弧度 做的不好 仅供参考 希望和大家能够交流交流-Used to calculate the arc to do well for reference only and you will be able to exchange communication
  3. 所属分类:File Formats

    • 发布日期:2017-04-04
    • 文件大小:241733
    • 提供者:lihui
  1. VBDiaoYongMATLAB

    0下载:
  2. VB程序中实现调用MATLAB的方法。本文介绍了在VB应用程序中利用动态数据交换ActiveX自动化(OLE自动化)协议实现的两种调用MATLAB函数的方法。通过这两种方法实现了VB的可视化界面与MATLAB强大的数值分析和图形显示的能力的结合。-VB program called MATLAB to implement the method. This article describes the application in VB using ActiveX Automation Dynami
  3. 所属分类:Communication

    • 发布日期:2017-04-16
    • 文件大小:14938
    • 提供者:Charlie
  1. DLMS-COSEM_over_PLC_Vienna_GK070921

    0下载:
  2. DLMS/COSEM over PLC – security of meter data exchange over open networks
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:204856
    • 提供者:Mostafa
  1. taker

    0下载:
  2. 近年来,随着电子系统设计自动化和超大规模可编程逻辑器件的快速发展,一类新型电子系统开发工具正在迅速普及,计算机设计和编程人员和电子器件厂家都在寻找一种工具,可以在芯片的设计人员和生产伙伴之间建立沟通和交换数据的桥梁。-In recent years, with the electronic system design automation and ultra-large-scale rapid development of programmable logic devices, a new ty
  3. 所属分类:Project Design

    • 发布日期:2017-04-14
    • 文件大小:2868
    • 提供者:
  1. jizhongdianzizhizuodeshiyongyuanlitu

    0下载:
  2. 几种电子制作的使用电路,对自己动手能力的培养非常有帮助,欢迎下载交流。-Make use of several electronic circuits on their practical ability of very helpful, please download the exchange.
  3. 所属分类:Development Research

    • 发布日期:2017-05-02
    • 文件大小:783826
    • 提供者:烟雨楼
  1. vcMSCom

    2下载:
  2. vc串口通讯控件MSComm编程详解,在mfc中进行串口通讯最简单的方法莫过于在对话框中使用MSCOMM控件了,MSComm通信控件提供了一系列标准通信命令的接口,它允许建立串口连接,可以连接到其他通信设备(如Modem).还可以发送命令、进行数据交换以及监视和响应在通信过程中可能发生的各种错误和事件,从而可以用它创建全双工 、事件驱动的、高效实用的通信程序。 -vc serial communication control MSComm programming Xiang Jie, car
  3. 所属分类:Project Design

    • 发布日期:2016-06-04
    • 文件大小:75589
    • 提供者:于玮婷
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 23 »
搜珍网 www.dssz.com