CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - timer vhdl

搜索资源列表

  1. DigitalssStopwatch

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。-the stopwatch timer for the various sports competitions and requires more accurate at the various fields. This timer is a dedicated chip, using the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:6951
    • 提供者:段苛苛
  1. CPLD-timer

    0下载:
  2. 本文介绍一种以CPLD[1]为核心、以VHDL[2]为开发工具的时间控制器,该控制器不仅具有时间功能,而且具有定时器功能,能在00:00~23:59之间任意设定开启时间和关闭时间,其设置方便、灵活,广泛应用于路灯、广告灯箱、霓虹灯等处的定时控制。-This article describes a CPLD [1] as the core, VHDL [2] for the development of tools for time controller that features not onl
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:219723
    • 提供者:江俊
  1. vhdl

    0下载:
  2. 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
  3. 所属分类:Document

    • 发布日期:2017-04-14
    • 文件大小:4230
    • 提供者:王睿
  1. top

    0下载:
  2. 实现定时器功能,分别有秒针,分钟,小时,到一天后led灯闪烁一下。-To achieve timer function, respectively, seconds, minutes, hours, to one day look after the led light flashes.
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:989
    • 提供者:crystal
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. Design-of-VHDL-based-digital-timer

    0下载:
  2. 基于VHDL的数字计时器的设计 基于VHDL的数字计时器的设计-Design of VHDL-based digital timer
  3. 所属分类:software engineering

    • 发布日期:2017-11-04
    • 文件大小:111025
    • 提供者:凉均兄
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. Top_LED_TUBE

    0下载:
  2. It is a timer VHDL code. The outputs are designed for 7-seg display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2482
    • 提供者:Mahpa
  1. gh_timer_8254_081608

    0下载:
  2. gh-timer vhdl code 2
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:105527
    • 提供者:lida
  1. EDA-24秒倒计时程序

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。(The stopwatch timer is used in sports competitions and in various fields where requirements are more accurate. This timer is written in a VHDL langu
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:3072
    • 提供者:白米粥
搜珍网 www.dssz.com