CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - vending machine

搜索资源列表

  1. EVA_standard

    0下载:
  2. MDB/NAMA/EVA 自動販賣機相關標準與技術資料-MDB/NAMA/EVA vending machine-related standards and technical information
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3349047
    • 提供者:woulin
  1. MDB2PC_circuit

    0下载:
  2. PDF with a schematic circuit for a Vending Machine Interface for PC
  3. 所属分类:软件工程

    • 发布日期:2013-08-01
    • 文件大小:10183
    • 提供者:sai2002
  1. zishj

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-Design a vending machine control program, which each time slot to be injected into the 1 yuan, 2 yuan, 5 yuan, and provides input 1 yuan or 2 yuan may not re-en
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:44603
    • 提供者:asd
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. sale

    0下载:
  2. 关于自动售货机的报告,内有源程序和DC综合网表图-Report on the vending machine with a DC source and an integrated network chart
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:559999
    • 提供者:小阳
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. autoseller

    0下载:
  2. 自动售货机的对象模型动态模型以及功能模型-Vending machine' s object model, dynamic model and functional model of
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:87161
    • 提供者:韩笑
  1. EDA07

    0下载:
  2. 能识别5c10c25c三种硬币,可以实现退币找零等功能VHDL编程自动售货机-5c10c25c can identify three kinds of coins and currency can be achieved through irregular features such as back VHDL programming vending machine
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:4520
    • 提供者:vina
  1. vendingmachinvhdl

    0下载:
  2. 自动售货机的程序代码和仿真文件,挺有用的-Vending machine program code and simulation files, winter ridge used
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:184164
    • 提供者:李明
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. Verilong_HDL

    0下载:
  2. 此设计文档详细说明了自动售货机的实现过程,包括各种图表,源代码,仿真波形等。-This design documents detail the vending machine, including the realization process of various chart, the source code, and the simulation waveform, etc.
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:290756
    • 提供者:chenx
  1. CV

    0下载:
  2. its a vending machine code:
  3. 所属分类:Communication

    • 发布日期:2017-04-14
    • 文件大小:5456
    • 提供者:Majid Shaffi
  1. MDB-Interface-Card

    1下载:
  2. MDB Interface Card for Vending Machine
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:270336
    • 提供者:Turcol
  1. VHDL-Environment-for-Smart-Vending-Machine

    0下载:
  2. VHDL Environment for Smart Vending Machine
  3. 所属分类:software engineering

    • 发布日期:2017-11-16
    • 文件大小:498191
    • 提供者:nasar
  1. half_clk.v

    0下载:
  2. 自动售货机代码,可实现 0.5 1.0 2.0 输入售出1.0 2.5 3.0 元货物-Vending machine code, enabling input 0.5 1.0 2.0 1.0 2.5 3.0 yuan of goods sold
  3. 所属分类:Software Testing

    • 发布日期:2017-11-20
    • 文件大小:1871
    • 提供者:eee
  1. Vending-machine-control

    0下载:
  2. 自动售货机控制系统的设计与实现 有部分原理图-Design and implementation of vending machine control system are part of the diagram
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5389114
    • 提供者:zhuhh
  1. The-vending-machine-

    0下载:
  2. 自制自动售货机。分为两个部分,一个是前台销售操作,一个后台管理操作。前台销售是销售产品和找零等操作,后台管理是修改密码,增加产品等操作。-Homemade vending machines. Divided into two parts, one is the front sales operation, a backstage management operations. Front sales are sales of products and give change and other o
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:1998
    • 提供者:wujiayi
  1. Water-vending-machine

    0下载:
  2. 2012年蓝桥杯全国软件专业人才大赛单片机设计与开发项目组比赛题目“自动售水机”-Water vending machine
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:42405
    • 提供者:CMC
  1. Vending-machine

    0下载:
  2. Vending machine based on verilog.
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1223186
    • 提供者:faizal
« 12 »
搜珍网 www.dssz.com