CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - verilog 自动 售货机

搜索资源列表

  1. Verilog

    0下载:
  2. Verilog课程设计自动售货机 1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:83927
    • 提供者:卢晨旭
  1. autosell-verilog

    0下载:
  2. 实现简单自动售货机的基本功能。投币找零功能,并用Led数码管显示,输出结果用Led显示。-Basic functions simple vending machines. Coin change for function and use Led digital tube display, the output display Led.
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:10710
    • 提供者:苏晨路
  1. auto_seller_verilog

    0下载:
  2. 自动售货机的verilog实现,文档中有设计自动售货机的要求,下面附有代码的实现以及仿真波形-Vending machine verilog achieve, there is a document designed vending machine requirements, implementation and simulation waveforms with the following code
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1114491
    • 提供者:sjy
  1. autosell

    0下载:
  2. 基于FPGA的自动售货机,有两种商品,每种都是1.5元,可以投入1元和五角两种货币。(A vending machine based on FPGA,)
  3. 所属分类:系统设计方案

    • 发布日期:2018-05-03
    • 文件大小:1164288
    • 提供者:kanydd
搜珍网 www.dssz.com