CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - CORDIC Algorithm

搜索资源列表

  1. fftfpga

    0下载:
  2. 采用按时间抽选的基4原位算法和坐标旋转数字式计算机(CORDIC)算法实现了一个FFT实时谱分析系统。整个设计采用流水线工作方式,保证了系统的速度,避免了瓶劲的出现;整个系统采用FPGA实现,实验表明,该系统既有DSP器件实现的灵活性又有专用FFT芯片实现的高速数据吞吐能力,可以广泛地应用于数字信号处理的各个领域。-time selected by using the in-situ-4 algorithm and coordinate rotation digital computer (CO
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:390425
    • 提供者:yaoming
  1. 567

    0下载:
  2. The paper presents the CORDIC Algorithm, which has been implemented as an virtual component (IP core) in a VHDL simulation environment. The core is packaged as a soft (VHDL) macro and it implements all transcenden-tal functions. Analysis of the accur
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:117831
    • 提供者:赵平
  1. cordic

    0下载:
  2. 用于无线通信中的数字下变频,主要关注NCO设计还有使用cordic算法实现坐标变换和解调!-For wireless communication of digital down conversion, the main concern there NCO design algorithm using cordic coordinate transformation and demodulation!
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:2470157
    • 提供者:peter
  1. crdcsrvy

    0下载:
  2. 一篇很好的介绍用途很广的Cordic算法的书籍,推荐-A very good introduction to a wide use of the algorithm Cordic books, recommended
  3. 所属分类:Project Design

    • 发布日期:2017-04-28
    • 文件大小:117566
    • 提供者:wush
  1. CORDIC

    0下载:
  2. cordic 算法资料 整合了一些有关cordic 的论文 供大家参考-cordic algorithm
  3. 所属分类:Project Design

    • 发布日期:2017-05-24
    • 文件大小:8102711
    • 提供者:李晨
  1. TheResearchoftherealtimesignalprocessingofSARbased

    0下载:
  2. 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA s development and debugging ar
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5156342
    • 提供者:mabeibei
  1. RF_power_amplifier_in_Digital_pre-distortion_techn

    0下载:
  2. 射频功放数字预失真技术研究及其FPGA实现,文中介绍了数字预失真的实现架构,介绍了QRD_RLS算法实现的相关CORDIC技术,GIVENS旋转,以及systolic阵等原理-RF power amplifier digital pre-distortion technology and its FPGA implementation, this paper describes the realization of digital pre-distortion framework introdu
  3. 所属分类:Project Design

    • 发布日期:2017-05-17
    • 文件大小:5042766
    • 提供者:蔡蔡
  1. ddc

    0下载:
  2. 电子科大2007-基于CORDIC的数字下变频电路的ASIC设计与实现,主要是使用cordic算法来实现DDC中的各个模块!-UESTC 2007- Based on CORDIC for digital down conversion circuit ASIC design and implementation, primarily using cordic algorithm to realize the DDC in each module!
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2470040
    • 提供者:peter
  1. sanjiaomatlabkaiti

    0下载:
  2. CORDIC(Coordinate Rotational Digital Computer,坐标旋转计算机)算法是Volder于1959年在美国航空控制系统的设计中提出来的,它是一种用于计算运算函数的循环迭代算法。其基本思想是用一系列与运算基数相关的角度的不断偏摆,从而逼近所需旋转的角度。-On CORDIC (Coordinate Rotational Digital the Computer, coordinate rotation computer) algorithm by Volder
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:14145
    • 提供者:wangl
  1. 1

    0下载:
  2. 基于CORDIC算法的对数放大器的FPGA实现(cordic算法计算对数重要资料,非常好懂)-Based on CORDIC Algorithm logarithmic amplifier with FPGA (cordic algorithm to calculate the logarithm important information, very easy to understand)
  3. 所属分类:Project Design

    • 发布日期:2017-11-06
    • 文件大小:435163
    • 提供者:叶韬
  1. SINANDCOS-CORDIC

    0下载:
  2. 该资料是利用cordic算法实现正余弦函数计算输出的源程序及说明。资料中包含迭代算法和流水线算法。-This information is to use cordic algorithm source code and instructions cosine function calculates the output. Iterative algorithms and data contained in the pipeline algorithm.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:116482
    • 提供者:chenjianwen
  1. The-Phase-Locked-Demodulation-

    0下载:
  2. 利用Altera公司推出的FPGA开发工具DSP Builder,对锁相解调算法中的主要部件:数控振荡器(NCO)、计算反正切的CORDIC模块和FIR低通滤波器进行了单独设计和仿真,最终完成了锁相解调系统的整体设计。-Designed and simulated major components of phase-locking Demodulation Algorithm independently, including: Number Controlled Oscillator(NCO)、
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2209353
    • 提供者:张强
  1. cordic_sin

    0下载:
  2. 这是自己编写的一个cordic算法,可以计算正弦函数,大家可以参考一下。-This is a cordic algorithm to write your own, you can calculate the sine function, we can refer to.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:1240
    • 提供者:陈杰
  1. cordic_final_program

    0下载:
  2. Verilog Code for CORDIC Algorithm
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:11850
    • 提供者:akkijamzala
  1. QDDS

    0下载:
  2. 采用CORDIC算法实现QDDS的设计,有VHDL源代码-QDDS using CORDIC algorithm design, there are VHDL source code
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3329528
    • 提供者:luyingchun
  1. cordic_dds

    1下载:
  2. 采用CORDIC算法的直接数字频率合成器的设计-CORDIC algorithm uses direct digital frequency synthesizer design
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:235653
    • 提供者:brianwu
  1. CORDIC_hardware

    0下载:
  2. CORDIC算法及其硬件实现,算法的硬件实现-CORDIC algorithm and its hardware implementation
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:211734
    • 提供者:brianwu
  1. CORDIC_FPGA

    0下载:
  2. CORDIC算法在基于FPGA的数字信号处理中的应用-CORDIC algorithm in FPGA-based digital signal processing in the
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:456800
    • 提供者:brianwu
  1. tcuxq

    0下载:
  2. cordic算法的matlab仿真,对于初学matlab的同学会有帮助,混沌的判断指标Lyapunov指数计算。- cordic matlab simulation algorithm, Matlab for beginner students will help, Chaos indicator for Lyapunov index calculation.
  3. 所属分类:software engineering

    • 发布日期:2017-12-14
    • 文件大小:14292
    • 提供者:曹彦庆
  1. vb686

    0下载:
  2. 比较了软阈值,硬阈值及当今各种阈值计算方法,包括主成分分析、因子分析、贝叶斯分析,cordic算法的matlab仿真。- Comparison of soft threshold and hard threshold and today various threshold calculation method, Including principal component analysis, factor analysis, Bayesian analysis, cordic matlab sim
  3. 所属分类:software engineering

    • 发布日期:2017-12-14
    • 文件大小:10240
    • 提供者:董根丹
« 12 »
搜珍网 www.dssz.com